1 Star 0 Fork 0

pantao / DES_Encryption

加入 Gitee
与超过 1200万 开发者一起发现、参与优秀开源项目,私有仓库也完全免费 :)
免费加入
该仓库未声明开源许可证文件(LICENSE),使用请关注具体项目描述及其代码上游依赖。
克隆/下载
贡献代码
同步代码
取消
提示: 由于 Git 不支持空文件夾,创建文件夹后会生成空的 .keep 文件
Loading...
README

DES_Encryption

本科的时候写的 _(:з」∠)_

这个项目现在主要用来测试VCS+Verdi环境是否可用 👻

DES Encryption Verilog

use iverilog:

make ivlsim_run

use vcs:

make simv_run
make verdi

Compile verilog files and generate fsdb wave file with Synopsys VCS, then view design and wave in Verdi.

空文件

简介

同步自我的 Github 展开 收起
取消

发行版

暂无发行版

贡献者

全部

近期动态

加载更多
不能加载更多了
1
https://gitee.com/pantao1227/DES_Encryption.git
git@gitee.com:pantao1227/DES_Encryption.git
pantao1227
DES_Encryption
DES_Encryption
master

搜索帮助