1 Star 0 Fork 0

pantao / DES_Encryption

加入 Gitee
与超过 1200万 开发者一起发现、参与优秀开源项目,私有仓库也完全免费 :)
免费加入
该仓库未声明开源许可证文件(LICENSE),使用请关注具体项目描述及其代码上游依赖。
克隆/下载
Makefile 463 Bytes
一键复制 编辑 原始数据 按行查看 历史
pantao 提交于 2019-04-08 19:19 . 2019年 04月 08日 星期一 19:19:19 CST
ivlsim:
iverilog -g2005 -f ./list.f -o ivlsim
ivlsim_run: ivlsim
vvp ivlsim
vcdwave:
iverilog -g2005 -f ./list.f -D VCD -o ivlsim ; vvp ivlsim
simv:
vcs -full64 -f ./list.f -debug_access+all -o simv
simv_run:
./simv
fsdb:
vcs -full64 -f ./list.f +define+FSDB -debug_access+all -o simv-fsdb
verdi: fsdb
./simv-fsdb
verdi -f ./list.f -ssf ./wave.fsdb
.PHONY: clean
clean:
rm -rf ./csrc ./novas* ./simv* ./verdi* ./*.fsdb ./ucli.key ./ivlsim ./*.vcd
1
https://gitee.com/pantao1227/DES_Encryption.git
git@gitee.com:pantao1227/DES_Encryption.git
pantao1227
DES_Encryption
DES_Encryption
master

搜索帮助