14 Star 113 Fork 12

xiaowuzxc / 大黄鸭处理器

加入 Gitee
与超过 1200万 开发者一起发现、参与优秀开源项目,私有仓库也完全免费 :)
免费加入
克隆/下载
Yduck.txt 3.87 KB
一键复制 编辑 原始数据 按行查看 历史
xiaowuzxc 提交于 2022-01-27 10:48 . 删除多余行
`
'&@@@&$$$$&&&@########$' `
.;|!;....................;&####$` `
.|;.............................!$###| `
`!!...................................!&#@; `
;!.......................................|@#&. `
.|..........................................;&@@&. `
'!.............................................!$@&' `
'|&&!.............................................&@@; `
.!&.';..............................................|&@; `
.$$&&|...............................................!&@; `
.&&&|;................................................|@&' `
`;...................;&@@@@&|..........................;&@! `
';..................!$; !@@$!.........................|&|. `
.;;;|&&&&;.........;$$` .&@@&;........................!&&. `
.`` `;&&$|!!!!|&!........!$#@|;&@@@#$;........................!&|. `
'$&|!;!!!!!!!!!!!!||;........|@@@@$&&@&|.........................|&; `'` `
.|$!..!!!!!!!!!!!!||;........!&@@$|$$!.........................;$$$@##@&&&@@; `
'&$!.;!!!!!!!!!!!&|;..........;.............................&##&!.......!$&. `
'|||;;!!!!!!!!!!|&$|;...................................|&&............&&; `
.|&@@@@&!;!!!!!!!!!!!!!!!!!!|&|;........................&@&..............&&. `
.;!&@#@&$$$&|!!!!!!!!!!!!!!!!!!||;.....................;$@|...............;$|. `
`!!|$@@&$$&&$$&!!!!!!!!!!!!!!!&|....................;&@$;.................|&. `
`!!!!||&&&&&|||!!!!!!!!!!!|&!...................;$@$;...................!&; `
;@&&$&&&&|||&$$&&&&$|!;...................;|&@&;.....................!$! `
`&$;........;&$|;.......................;!$@&|;........................!$! `
'$|..............;!&$$&$$$&|;.....;!|$&@$|;.............................!&! `
'$|......................................................................|$. `
.&&;......................................................................&&` `
.&|..............................................................;;......!$; `
;&|............................................................!$$$&!....&|. `
.&|.......................................................;|&$&!..|&|...||` `
`&&;..............................................................&&|..!&' `
.$|.............................................................!$&;.!&' `
;$!........................................;;.................;$$;.|&` `
'$|.......................................;;................!$&;;&! `
!|......................................;!;.............;&$!.|&` `
.;;.....................................!!...........;$$!.|&` `
';....................................;|&|!;!!&$$|;.;&! `
..;............................................!$&` `
.!|!...................................;!$&|` `
'|$&!;......................;!&&&|' `
`.!&$$$$$$$$$$$$&!;'. `
`
Verilog
1
https://gitee.com/xiaowuzxc/Yduck-processor.git
git@gitee.com:xiaowuzxc/Yduck-processor.git
xiaowuzxc
Yduck-processor
大黄鸭处理器
master

搜索帮助