1 Star 0 Fork 94

胡宇彪 / gcc

forked from src-openEuler / gcc 
加入 Gitee
与超过 1200万 开发者一起发现、参与优秀开源项目,私有仓库也完全免费 :)
免费加入
该仓库未声明开源许可证文件(LICENSE),使用请关注具体项目描述及其代码上游依赖。
克隆/下载
LoongArch-Add-tests-for-ASX-builtin-functions.patch 143.79 KB
一键复制 编辑 原始数据 按行查看 历史
ticat_fp 提交于 2024-03-26 09:26 . LoongArch: update from gcc upstream
123456789101112131415161718192021222324252627282930313233343536373839404142434445464748495051525354555657585960616263646566676869707172737475767778798081828384858687888990919293949596979899100101102103104105106107108109110111112113114115116117118119120121122123124125126127128129130131132133134135136137138139140141142143144145146147148149150151152153154155156157158159160161162163164165166167168169170171172173174175176177178179180181182183184185186187188189190191192193194195196197198199200201202203204205206207208209210211212213214215216217218219220221222223224225226227228229230231232233234235236237238239240241242243244245246247248249250251252253254255256257258259260261262263264265266267268269270271272273274275276277278279280281282283284285286287288289290291292293294295296297298299300301302303304305306307308309310311312313314315316317318319320321322323324325326327328329330331332333334335336337338339340341342343344345346347348349350351352353354355356357358359360361362363364365366367368369370371372373374375376377378379380381382383384385386387388389390391392393394395396397398399400401402403404405406407408409410411412413414415416417418419420421422423424425426427428429430431432433434435436437438439440441442443444445446447448449450451452453454455456457458459460461462463464465466467468469470471472473474475476477478479480481482483484485486487488489490491492493494495496497498499500501502503504505506507508509510511512513514515516517518519520521522523524525526527528529530531532533534535536537538539540541542543544545546547548549550551552553554555556557558559560561562563564565566567568569570571572573574575576577578579580581582583584585586587588589590591592593594595596597598599600601602603604605606607608609610611612613614615616617618619620621622623624625626627628629630631632633634635636637638639640641642643644645646647648649650651652653654655656657658659660661662663664665666667668669670671672673674675676677678679680681682683684685686687688689690691692693694695696697698699700701702703704705706707708709710711712713714715716717718719720721722723724725726727728729730731732733734735736737738739740741742743744745746747748749750751752753754755756757758759760761762763764765766767768769770771772773774775776777778779780781782783784785786787788789790791792793794795796797798799800801802803804805806807808809810811812813814815816817818819820821822823824825826827828829830831832833834835836837838839840841842843844845846847848849850851852853854855856857858859860861862863864865866867868869870871872873874875876877878879880881882883884885886887888889890891892893894895896897898899900901902903904905906907908909910911912913914915916917918919920921922923924925926927928929930931932933934935936937938939940941942943944945946947948949950951952953954955956957958959960961962963964965966967968969970971972973974975976977978979980981982983984985986987988989990991992993994995996997998999100010011002100310041005100610071008100910101011101210131014101510161017101810191020102110221023102410251026102710281029103010311032103310341035103610371038103910401041104210431044104510461047104810491050105110521053105410551056105710581059106010611062106310641065106610671068106910701071107210731074107510761077107810791080108110821083108410851086108710881089109010911092109310941095109610971098109911001101110211031104110511061107110811091110111111121113111411151116111711181119112011211122112311241125112611271128112911301131113211331134113511361137113811391140114111421143114411451146114711481149115011511152115311541155115611571158115911601161116211631164116511661167116811691170117111721173117411751176117711781179118011811182118311841185118611871188118911901191119211931194119511961197119811991200120112021203120412051206120712081209121012111212121312141215121612171218121912201221122212231224122512261227122812291230123112321233123412351236123712381239124012411242124312441245124612471248124912501251125212531254125512561257125812591260126112621263126412651266126712681269127012711272127312741275127612771278127912801281128212831284128512861287128812891290129112921293129412951296129712981299130013011302130313041305130613071308130913101311131213131314131513161317131813191320132113221323132413251326132713281329133013311332133313341335133613371338133913401341134213431344134513461347134813491350135113521353135413551356135713581359136013611362136313641365136613671368136913701371137213731374137513761377137813791380138113821383138413851386138713881389139013911392139313941395139613971398139914001401140214031404140514061407140814091410141114121413141414151416141714181419142014211422142314241425142614271428142914301431143214331434143514361437143814391440144114421443144414451446144714481449145014511452145314541455145614571458145914601461146214631464146514661467146814691470147114721473147414751476147714781479148014811482148314841485148614871488148914901491149214931494149514961497149814991500150115021503150415051506150715081509151015111512151315141515151615171518151915201521152215231524152515261527152815291530153115321533153415351536153715381539154015411542154315441545154615471548154915501551155215531554155515561557155815591560156115621563156415651566156715681569157015711572157315741575157615771578157915801581158215831584158515861587158815891590159115921593159415951596159715981599160016011602160316041605160616071608160916101611161216131614161516161617161816191620162116221623162416251626162716281629163016311632163316341635163616371638163916401641164216431644164516461647164816491650165116521653165416551656165716581659166016611662166316641665166616671668166916701671167216731674167516761677167816791680168116821683168416851686168716881689169016911692169316941695169616971698169917001701170217031704170517061707170817091710171117121713171417151716171717181719172017211722172317241725172617271728172917301731173217331734173517361737173817391740174117421743174417451746174717481749175017511752175317541755175617571758175917601761176217631764176517661767176817691770177117721773177417751776177717781779178017811782178317841785178617871788178917901791179217931794179517961797179817991800180118021803180418051806180718081809181018111812181318141815181618171818181918201821182218231824182518261827182818291830183118321833183418351836183718381839184018411842184318441845184618471848184918501851185218531854185518561857185818591860186118621863186418651866186718681869187018711872187318741875187618771878187918801881188218831884188518861887188818891890189118921893189418951896189718981899190019011902190319041905190619071908190919101911191219131914191519161917191819191920192119221923192419251926192719281929193019311932193319341935193619371938193919401941194219431944194519461947194819491950195119521953195419551956195719581959196019611962196319641965196619671968196919701971197219731974197519761977197819791980198119821983198419851986198719881989199019911992199319941995199619971998199920002001200220032004200520062007200820092010201120122013201420152016201720182019202020212022202320242025202620272028202920302031203220332034203520362037203820392040204120422043204420452046204720482049205020512052205320542055205620572058205920602061206220632064206520662067206820692070207120722073207420752076207720782079208020812082208320842085208620872088208920902091209220932094209520962097209820992100210121022103210421052106210721082109211021112112211321142115211621172118211921202121212221232124212521262127212821292130213121322133213421352136213721382139214021412142214321442145214621472148214921502151215221532154215521562157215821592160216121622163216421652166216721682169217021712172217321742175217621772178217921802181218221832184218521862187218821892190219121922193219421952196219721982199220022012202220322042205220622072208220922102211221222132214221522162217221822192220222122222223222422252226222722282229223022312232223322342235223622372238223922402241224222432244224522462247224822492250225122522253225422552256225722582259226022612262226322642265226622672268226922702271227222732274227522762277227822792280228122822283228422852286228722882289229022912292229322942295229622972298229923002301230223032304230523062307230823092310231123122313231423152316231723182319232023212322232323242325232623272328232923302331233223332334233523362337233823392340234123422343234423452346234723482349235023512352235323542355235623572358235923602361236223632364236523662367236823692370237123722373237423752376237723782379238023812382238323842385238623872388238923902391239223932394239523962397239823992400240124022403240424052406240724082409241024112412241324142415241624172418241924202421242224232424242524262427242824292430243124322433243424352436243724382439244024412442244324442445244624472448244924502451245224532454245524562457245824592460246124622463246424652466246724682469247024712472247324742475247624772478247924802481248224832484248524862487248824892490249124922493249424952496249724982499250025012502250325042505250625072508250925102511251225132514251525162517251825192520252125222523252425252526252725282529253025312532253325342535253625372538253925402541254225432544254525462547254825492550255125522553255425552556255725582559256025612562256325642565256625672568256925702571257225732574257525762577257825792580258125822583258425852586258725882589259025912592259325942595259625972598259926002601260226032604260526062607260826092610261126122613261426152616261726182619262026212622262326242625262626272628262926302631263226332634263526362637263826392640264126422643264426452646264726482649265026512652265326542655265626572658265926602661266226632664266526662667266826692670267126722673267426752676267726782679268026812682268326842685268626872688268926902691269226932694269526962697269826992700270127022703270427052706270727082709271027112712271327142715271627172718271927202721272227232724272527262727272827292730273127322733273427352736273727382739274027412742274327442745274627472748274927502751275227532754275527562757275827592760276127622763276427652766276727682769277027712772277327742775277627772778277927802781278227832784278527862787278827892790279127922793279427952796279727982799280028012802280328042805280628072808280928102811281228132814281528162817281828192820282128222823282428252826282728282829283028312832283328342835283628372838283928402841284228432844284528462847284828492850285128522853285428552856285728582859286028612862286328642865286628672868286928702871287228732874287528762877287828792880288128822883288428852886288728882889289028912892289328942895289628972898289929002901290229032904290529062907290829092910291129122913291429152916291729182919292029212922292329242925292629272928292929302931293229332934293529362937293829392940294129422943294429452946294729482949295029512952295329542955295629572958295929602961296229632964296529662967296829692970297129722973297429752976297729782979298029812982298329842985298629872988298929902991299229932994299529962997299829993000300130023003300430053006300730083009301030113012301330143015301630173018301930203021302230233024302530263027302830293030303130323033303430353036303730383039304030413042304330443045304630473048304930503051305230533054305530563057305830593060306130623063306430653066306730683069307030713072307330743075307630773078307930803081308230833084308530863087308830893090309130923093309430953096309730983099310031013102310331043105310631073108310931103111311231133114311531163117311831193120312131223123312431253126312731283129313031313132313331343135313631373138313931403141314231433144314531463147314831493150315131523153315431553156315731583159316031613162316331643165316631673168316931703171317231733174317531763177317831793180318131823183318431853186318731883189319031913192319331943195319631973198319932003201320232033204320532063207320832093210321132123213321432153216321732183219322032213222322332243225322632273228322932303231323232333234323532363237323832393240324132423243324432453246324732483249325032513252325332543255325632573258325932603261326232633264326532663267326832693270327132723273327432753276327732783279328032813282328332843285328632873288328932903291329232933294329532963297329832993300330133023303330433053306330733083309331033113312331333143315331633173318331933203321332233233324332533263327332833293330333133323333333433353336333733383339334033413342334333443345334633473348334933503351335233533354335533563357335833593360336133623363336433653366336733683369337033713372337333743375337633773378337933803381338233833384338533863387338833893390339133923393339433953396339733983399340034013402340334043405340634073408340934103411341234133414341534163417341834193420342134223423342434253426342734283429343034313432343334343435343634373438343934403441344234433444344534463447344834493450345134523453345434553456345734583459346034613462346334643465346634673468346934703471347234733474347534763477347834793480348134823483348434853486348734883489349034913492349334943495349634973498349935003501350235033504350535063507350835093510351135123513351435153516351735183519352035213522352335243525352635273528352935303531353235333534353535363537353835393540354135423543354435453546354735483549355035513552355335543555355635573558355935603561356235633564356535663567356835693570357135723573357435753576357735783579358035813582358335843585358635873588358935903591359235933594359535963597359835993600360136023603360436053606360736083609361036113612361336143615361636173618361936203621362236233624362536263627362836293630363136323633363436353636363736383639364036413642364336443645364636473648364936503651365236533654365536563657365836593660366136623663366436653666366736683669367036713672367336743675367636773678367936803681368236833684368536863687368836893690369136923693369436953696369736983699370037013702370337043705370637073708370937103711371237133714371537163717371837193720372137223723372437253726372737283729373037313732373337343735373637373738373937403741374237433744374537463747374837493750375137523753375437553756375737583759376037613762376337643765376637673768376937703771377237733774377537763777377837793780378137823783378437853786378737883789379037913792379337943795379637973798379938003801380238033804380538063807380838093810381138123813381438153816381738183819382038213822382338243825382638273828382938303831383238333834383538363837383838393840384138423843384438453846384738483849385038513852385338543855385638573858385938603861386238633864386538663867386838693870387138723873387438753876387738783879388038813882388338843885388638873888388938903891389238933894389538963897389838993900390139023903390439053906390739083909391039113912391339143915391639173918391939203921392239233924392539263927392839293930393139323933393439353936393739383939394039413942394339443945394639473948394939503951395239533954395539563957395839593960396139623963396439653966396739683969397039713972397339743975397639773978397939803981398239833984398539863987398839893990399139923993399439953996399739983999400040014002400340044005400640074008400940104011401240134014401540164017401840194020402140224023402440254026402740284029403040314032403340344035403640374038403940404041404240434044404540464047404840494050405140524053405440554056405740584059406040614062406340644065406640674068406940704071407240734074407540764077407840794080408140824083408440854086408740884089409040914092409340944095409640974098409941004101410241034104410541064107410841094110411141124113411441154116411741184119412041214122412341244125412641274128412941304131413241334134413541364137413841394140414141424143414441454146414741484149415041514152415341544155415641574158415941604161416241634164416541664167416841694170417141724173417441754176417741784179418041814182418341844185418641874188418941904191419241934194419541964197419841994200420142024203420442054206420742084209421042114212421342144215421642174218421942204221422242234224422542264227422842294230423142324233423442354236423742384239424042414242424342444245424642474248424942504251425242534254425542564257425842594260426142624263426442654266426742684269427042714272427342744275427642774278427942804281428242834284428542864287428842894290429142924293429442954296429742984299430043014302430343044305430643074308430943104311431243134314431543164317431843194320432143224323432443254326432743284329433043314332433343344335433643374338433943404341434243434344434543464347434843494350435143524353435443554356435743584359436043614362436343644365436643674368436943704371437243734374437543764377437843794380438143824383438443854386438743884389439043914392439343944395439643974398439944004401440244034404440544064407440844094410441144124413441444154416441744184419442044214422442344244425442644274428442944304431443244334434443544364437443844394440444144424443444444454446444744484449445044514452445344544455445644574458445944604461446244634464446544664467446844694470447144724473447444754476447744784479448044814482448344844485
From fcf63744c4ceaa60cd57ab3c431ec63f690189d4 Mon Sep 17 00:00:00 2001
From: Xiaolong Chen <chenxiaolong@loongson.cn>
Date: Tue, 12 Sep 2023 15:59:47 +0800
Subject: [PATCH 109/124] LoongArch: Add tests for ASX builtin functions.
gcc/testsuite/ChangeLog:
* gcc.target/loongarch/vector/lasx/lasx-builtin.c: New test.
Signed-off-by: Peng Fan <fanpeng@loongson.cn>
Signed-off-by: ticat_fp <fanpeng@loongson.cn>
---
.../loongarch/vector/lasx/lasx-builtin.c | 4460 +++++++++++++++++
1 file changed, 4460 insertions(+)
create mode 100644 gcc/testsuite/gcc.target/loongarch/vector/lasx/lasx-builtin.c
diff --git a/gcc/testsuite/gcc.target/loongarch/vector/lasx/lasx-builtin.c b/gcc/testsuite/gcc.target/loongarch/vector/lasx/lasx-builtin.c
new file mode 100644
index 000000000..b1a903b4a
--- /dev/null
+++ b/gcc/testsuite/gcc.target/loongarch/vector/lasx/lasx-builtin.c
@@ -0,0 +1,4460 @@
+/* Test builtins for LOONGARCH LASX ASE instructions */
+/* { dg-do compile } */
+/* { dg-options "-mlasx" } */
+/* { dg-final { scan-assembler-times "lasx_xvsll_b:.*xvsll\\.b.*lasx_xvsll_b" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvsll_h:.*xvsll\\.h.*lasx_xvsll_h" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvsll_w:.*xvsll\\.w.*lasx_xvsll_w" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvsll_d:.*xvsll\\.d.*lasx_xvsll_d" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvslli_b:.*xvslli\\.b.*lasx_xvslli_b" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvslli_h:.*xvslli\\.h.*lasx_xvslli_h" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvslli_w:.*xvslli\\.w.*lasx_xvslli_w" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvslli_d:.*xvslli\\.d.*lasx_xvslli_d" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvsra_b:.*xvsra\\.b.*lasx_xvsra_b" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvsra_h:.*xvsra\\.h.*lasx_xvsra_h" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvsra_w:.*xvsra\\.w.*lasx_xvsra_w" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvsra_d:.*xvsra\\.d.*lasx_xvsra_d" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvsrai_b:.*xvsrai\\.b.*lasx_xvsrai_b" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvsrai_h:.*xvsrai\\.h.*lasx_xvsrai_h" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvsrai_w:.*xvsrai\\.w.*lasx_xvsrai_w" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvsrai_d:.*xvsrai\\.d.*lasx_xvsrai_d" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvsrar_b:.*xvsrar\\.b.*lasx_xvsrar_b" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvsrar_h:.*xvsrar\\.h.*lasx_xvsrar_h" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvsrar_w:.*xvsrar\\.w.*lasx_xvsrar_w" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvsrar_d:.*xvsrar\\.d.*lasx_xvsrar_d" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvsrari_b:.*xvsrari\\.b.*lasx_xvsrari_b" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvsrari_h:.*xvsrari\\.h.*lasx_xvsrari_h" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvsrari_w:.*xvsrari\\.w.*lasx_xvsrari_w" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvsrari_d:.*xvsrari\\.d.*lasx_xvsrari_d" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvsrl_b:.*xvsrl\\.b.*lasx_xvsrl_b" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvsrl_h:.*xvsrl\\.h.*lasx_xvsrl_h" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvsrl_w:.*xvsrl\\.w.*lasx_xvsrl_w" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvsrl_d:.*xvsrl\\.d.*lasx_xvsrl_d" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvsrli_b:.*xvsrli\\.b.*lasx_xvsrli_b" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvsrli_h:.*xvsrli\\.h.*lasx_xvsrli_h" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvsrli_w:.*xvsrli\\.w.*lasx_xvsrli_w" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvsrli_d:.*xvsrli\\.d.*lasx_xvsrli_d" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvsrlr_b:.*xvsrlr\\.b.*lasx_xvsrlr_b" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvsrlr_h:.*xvsrlr\\.h.*lasx_xvsrlr_h" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvsrlr_w:.*xvsrlr\\.w.*lasx_xvsrlr_w" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvsrlr_d:.*xvsrlr\\.d.*lasx_xvsrlr_d" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvsrlri_b:.*xvsrlri\\.b.*lasx_xvsrlri_b" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvsrlri_h:.*xvsrlri\\.h.*lasx_xvsrlri_h" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvsrlri_w:.*xvsrlri\\.w.*lasx_xvsrlri_w" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvsrlri_d:.*xvsrlri\\.d.*lasx_xvsrlri_d" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvbitclr_b:.*xvbitclr\\.b.*lasx_xvbitclr_b" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvbitclr_h:.*xvbitclr\\.h.*lasx_xvbitclr_h" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvbitclr_w:.*xvbitclr\\.w.*lasx_xvbitclr_w" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvbitclr_d:.*xvbitclr\\.d.*lasx_xvbitclr_d" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvbitclri_b:.*xvbitclri\\.b.*lasx_xvbitclri_b" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvbitclri_h:.*xvbitclri\\.h.*lasx_xvbitclri_h" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvbitclri_w:.*xvbitclri\\.w.*lasx_xvbitclri_w" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvbitclri_d:.*xvbitclri\\.d.*lasx_xvbitclri_d" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvbitset_b:.*xvbitset\\.b.*lasx_xvbitset_b" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvbitset_h:.*xvbitset\\.h.*lasx_xvbitset_h" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvbitset_w:.*xvbitset\\.w.*lasx_xvbitset_w" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvbitset_d:.*xvbitset\\.d.*lasx_xvbitset_d" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvbitseti_b:.*xvbitseti\\.b.*lasx_xvbitseti_b" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvbitseti_h:.*xvbitseti\\.h.*lasx_xvbitseti_h" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvbitseti_w:.*xvbitseti\\.w.*lasx_xvbitseti_w" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvbitseti_d:.*xvbitseti\\.d.*lasx_xvbitseti_d" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvbitrev_b:.*xvbitrev\\.b.*lasx_xvbitrev_b" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvbitrev_h:.*xvbitrev\\.h.*lasx_xvbitrev_h" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvbitrev_w:.*xvbitrev\\.w.*lasx_xvbitrev_w" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvbitrev_d:.*xvbitrev\\.d.*lasx_xvbitrev_d" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvbitrevi_b:.*xvbitrevi\\.b.*lasx_xvbitrevi_b" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvbitrevi_h:.*xvbitrevi\\.h.*lasx_xvbitrevi_h" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvbitrevi_w:.*xvbitrevi\\.w.*lasx_xvbitrevi_w" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvbitrevi_d:.*xvbitrevi\\.d.*lasx_xvbitrevi_d" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvadd_b:.*xvadd\\.b.*lasx_xvadd_b" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvadd_h:.*xvadd\\.h.*lasx_xvadd_h" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvadd_w:.*xvadd\\.w.*lasx_xvadd_w" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvadd_d:.*xvadd\\.d.*lasx_xvadd_d" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvaddi_bu:.*xvaddi\\.bu.*lasx_xvaddi_bu" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvaddi_hu:.*xvaddi\\.hu.*lasx_xvaddi_hu" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvaddi_wu:.*xvaddi\\.wu.*lasx_xvaddi_wu" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvaddi_du:.*xvaddi\\.du.*lasx_xvaddi_du" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvsub_b:.*xvsub\\.b.*lasx_xvsub_b" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvsub_h:.*xvsub\\.h.*lasx_xvsub_h" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvsub_w:.*xvsub\\.w.*lasx_xvsub_w" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvsub_d:.*xvsub\\.d.*lasx_xvsub_d" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvsubi_bu:.*xvsubi\\.bu.*lasx_xvsubi_bu" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvsubi_hu:.*xvsubi\\.hu.*lasx_xvsubi_hu" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvsubi_wu:.*xvsubi\\.wu.*lasx_xvsubi_wu" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvsubi_du:.*xvsubi\\.du.*lasx_xvsubi_du" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvmax_b:.*xvmax\\.b.*lasx_xvmax_b" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvmax_h:.*xvmax\\.h.*lasx_xvmax_h" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvmax_w:.*xvmax\\.w.*lasx_xvmax_w" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvmax_d:.*xvmax\\.d.*lasx_xvmax_d" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvmaxi_b:.*xvmaxi\\.b.*lasx_xvmaxi_b" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvmaxi_h:.*xvmaxi\\.h.*lasx_xvmaxi_h" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvmaxi_w:.*xvmaxi\\.w.*lasx_xvmaxi_w" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvmaxi_d:.*xvmaxi\\.d.*lasx_xvmaxi_d" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvmax_bu:.*xvmax\\.bu.*lasx_xvmax_bu" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvmax_hu:.*xvmax\\.hu.*lasx_xvmax_hu" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvmax_wu:.*xvmax\\.wu.*lasx_xvmax_wu" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvmax_du:.*xvmax\\.du.*lasx_xvmax_du" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvmaxi_bu:.*xvmaxi\\.bu.*lasx_xvmaxi_bu" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvmaxi_hu:.*xvmaxi\\.hu.*lasx_xvmaxi_hu" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvmaxi_wu:.*xvmaxi\\.wu.*lasx_xvmaxi_wu" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvmaxi_du:.*xvmaxi\\.du.*lasx_xvmaxi_du" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvmin_b:.*xvmin\\.b.*lasx_xvmin_b" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvmin_h:.*xvmin\\.h.*lasx_xvmin_h" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvmin_w:.*xvmin\\.w.*lasx_xvmin_w" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvmin_d:.*xvmin\\.d.*lasx_xvmin_d" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvmini_b:.*xvmini\\.b.*lasx_xvmini_b" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvmini_h:.*xvmini\\.h.*lasx_xvmini_h" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvmini_w:.*xvmini\\.w.*lasx_xvmini_w" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvmini_d:.*xvmini\\.d.*lasx_xvmini_d" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvmin_bu:.*xvmin\\.bu.*lasx_xvmin_bu" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvmin_hu:.*xvmin\\.hu.*lasx_xvmin_hu" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvmin_wu:.*xvmin\\.wu.*lasx_xvmin_wu" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvmin_du:.*xvmin\\.du.*lasx_xvmin_du" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvmini_bu:.*xvmini\\.bu.*lasx_xvmini_bu" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvmini_hu:.*xvmini\\.hu.*lasx_xvmini_hu" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvmini_wu:.*xvmini\\.wu.*lasx_xvmini_wu" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvmini_du:.*xvmini\\.du.*lasx_xvmini_du" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvseq_b:.*xvseq\\.b.*lasx_xvseq_b" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvseq_h:.*xvseq\\.h.*lasx_xvseq_h" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvseq_w:.*xvseq\\.w.*lasx_xvseq_w" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvseq_d:.*xvseq\\.d.*lasx_xvseq_d" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvseqi_b:.*xvseqi\\.b.*lasx_xvseqi_b" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvseqi_h:.*xvseqi\\.h.*lasx_xvseqi_h" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvseqi_w:.*xvseqi\\.w.*lasx_xvseqi_w" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvseqi_d:.*xvseqi\\.d.*lasx_xvseqi_d" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvslt_b:.*xvslt\\.b.*lasx_xvslt_b" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvslt_h:.*xvslt\\.h.*lasx_xvslt_h" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvslt_w:.*xvslt\\.w.*lasx_xvslt_w" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvslt_d:.*xvslt\\.d.*lasx_xvslt_d" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvslti_b:.*xvslti\\.b.*lasx_xvslti_b" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvslti_h:.*xvslti\\.h.*lasx_xvslti_h" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvslti_w:.*xvslti\\.w.*lasx_xvslti_w" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvslti_d:.*xvslti\\.d.*lasx_xvslti_d" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvslt_bu:.*xvslt\\.bu.*lasx_xvslt_bu" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvslt_hu:.*xvslt\\.hu.*lasx_xvslt_hu" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvslt_wu:.*xvslt\\.wu.*lasx_xvslt_wu" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvslt_du:.*xvslt\\.du.*lasx_xvslt_du" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvslti_bu:.*xvslti\\.bu.*lasx_xvslti_bu" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvslti_hu:.*xvslti\\.hu.*lasx_xvslti_hu" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvslti_wu:.*xvslti\\.wu.*lasx_xvslti_wu" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvslti_du:.*xvslti\\.du.*lasx_xvslti_du" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvsle_b:.*xvsle\\.b.*lasx_xvsle_b" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvsle_h:.*xvsle\\.h.*lasx_xvsle_h" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvsle_w:.*xvsle\\.w.*lasx_xvsle_w" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvsle_d:.*xvsle\\.d.*lasx_xvsle_d" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvslei_b:.*xvslei\\.b.*lasx_xvslei_b" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvslei_h:.*xvslei\\.h.*lasx_xvslei_h" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvslei_w:.*xvslei\\.w.*lasx_xvslei_w" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvslei_d:.*xvslei\\.d.*lasx_xvslei_d" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvsle_bu:.*xvsle\\.bu.*lasx_xvsle_bu" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvsle_hu:.*xvsle\\.hu.*lasx_xvsle_hu" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvsle_wu:.*xvsle\\.wu.*lasx_xvsle_wu" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvsle_du:.*xvsle\\.du.*lasx_xvsle_du" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvslei_bu:.*xvslei\\.bu.*lasx_xvslei_bu" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvslei_hu:.*xvslei\\.hu.*lasx_xvslei_hu" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvslei_wu:.*xvslei\\.wu.*lasx_xvslei_wu" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvslei_du:.*xvslei\\.du.*lasx_xvslei_du" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvsat_b:.*xvsat\\.b.*lasx_xvsat_b" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvsat_h:.*xvsat\\.h.*lasx_xvsat_h" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvsat_w:.*xvsat\\.w.*lasx_xvsat_w" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvsat_d:.*xvsat\\.d.*lasx_xvsat_d" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvsat_bu:.*xvsat\\.bu.*lasx_xvsat_bu" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvsat_hu:.*xvsat\\.hu.*lasx_xvsat_hu" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvsat_wu:.*xvsat\\.wu.*lasx_xvsat_wu" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvsat_du:.*xvsat\\.du.*lasx_xvsat_du" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvadda_b:.*xvadda\\.b.*lasx_xvadda_b" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvadda_h:.*xvadda\\.h.*lasx_xvadda_h" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvadda_w:.*xvadda\\.w.*lasx_xvadda_w" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvadda_d:.*xvadda\\.d.*lasx_xvadda_d" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvsadd_b:.*xvsadd\\.b.*lasx_xvsadd_b" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvsadd_h:.*xvsadd\\.h.*lasx_xvsadd_h" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvsadd_w:.*xvsadd\\.w.*lasx_xvsadd_w" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvsadd_d:.*xvsadd\\.d.*lasx_xvsadd_d" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvsadd_bu:.*xvsadd\\.bu.*lasx_xvsadd_bu" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvsadd_hu:.*xvsadd\\.hu.*lasx_xvsadd_hu" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvsadd_wu:.*xvsadd\\.wu.*lasx_xvsadd_wu" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvsadd_du:.*xvsadd\\.du.*lasx_xvsadd_du" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvavg_b:.*xvavg\\.b.*lasx_xvavg_b" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvavg_h:.*xvavg\\.h.*lasx_xvavg_h" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvavg_w:.*xvavg\\.w.*lasx_xvavg_w" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvavg_d:.*xvavg\\.d.*lasx_xvavg_d" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvavg_bu:.*xvavg\\.bu.*lasx_xvavg_bu" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvavg_hu:.*xvavg\\.hu.*lasx_xvavg_hu" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvavg_wu:.*xvavg\\.wu.*lasx_xvavg_wu" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvavg_du:.*xvavg\\.du.*lasx_xvavg_du" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvavgr_b:.*xvavgr\\.b.*lasx_xvavgr_b" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvavgr_h:.*xvavgr\\.h.*lasx_xvavgr_h" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvavgr_w:.*xvavgr\\.w.*lasx_xvavgr_w" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvavgr_d:.*xvavgr\\.d.*lasx_xvavgr_d" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvavgr_bu:.*xvavgr\\.bu.*lasx_xvavgr_bu" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvavgr_hu:.*xvavgr\\.hu.*lasx_xvavgr_hu" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvavgr_wu:.*xvavgr\\.wu.*lasx_xvavgr_wu" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvavgr_du:.*xvavgr\\.du.*lasx_xvavgr_du" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvssub_b:.*xvssub\\.b.*lasx_xvssub_b" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvssub_h:.*xvssub\\.h.*lasx_xvssub_h" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvssub_w:.*xvssub\\.w.*lasx_xvssub_w" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvssub_d:.*xvssub\\.d.*lasx_xvssub_d" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvssub_bu:.*xvssub\\.bu.*lasx_xvssub_bu" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvssub_hu:.*xvssub\\.hu.*lasx_xvssub_hu" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvssub_wu:.*xvssub\\.wu.*lasx_xvssub_wu" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvssub_du:.*xvssub\\.du.*lasx_xvssub_du" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvabsd_b:.*xvabsd\\.b.*lasx_xvabsd_b" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvabsd_h:.*xvabsd\\.h.*lasx_xvabsd_h" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvabsd_w:.*xvabsd\\.w.*lasx_xvabsd_w" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvabsd_d:.*xvabsd\\.d.*lasx_xvabsd_d" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvabsd_bu:.*xvabsd\\.bu.*lasx_xvabsd_bu" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvabsd_hu:.*xvabsd\\.hu.*lasx_xvabsd_hu" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvabsd_wu:.*xvabsd\\.wu.*lasx_xvabsd_wu" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvabsd_du:.*xvabsd\\.du.*lasx_xvabsd_du" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvmul_b:.*xvmul\\.b.*lasx_xvmul_b" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvmul_h:.*xvmul\\.h.*lasx_xvmul_h" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvmul_w:.*xvmul\\.w.*lasx_xvmul_w" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvmul_d:.*xvmul\\.d.*lasx_xvmul_d" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvmadd_b:.*xvmadd\\.b.*lasx_xvmadd_b" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvmadd_h:.*xvmadd\\.h.*lasx_xvmadd_h" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvmadd_w:.*xvmadd\\.w.*lasx_xvmadd_w" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvmadd_d:.*xvmadd\\.d.*lasx_xvmadd_d" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvmsub_b:.*xvmsub\\.b.*lasx_xvmsub_b" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvmsub_h:.*xvmsub\\.h.*lasx_xvmsub_h" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvmsub_w:.*xvmsub\\.w.*lasx_xvmsub_w" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvmsub_d:.*xvmsub\\.d.*lasx_xvmsub_d" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvdiv_b:.*xvdiv\\.b.*lasx_xvdiv_b" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvdiv_h:.*xvdiv\\.h.*lasx_xvdiv_h" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvdiv_w:.*xvdiv\\.w.*lasx_xvdiv_w" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvdiv_d:.*xvdiv\\.d.*lasx_xvdiv_d" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvdiv_bu:.*xvdiv\\.bu.*lasx_xvdiv_bu" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvdiv_hu:.*xvdiv\\.hu.*lasx_xvdiv_hu" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvdiv_wu:.*xvdiv\\.wu.*lasx_xvdiv_wu" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvdiv_du:.*xvdiv\\.du.*lasx_xvdiv_du" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvhaddw_h_b:.*xvhaddw\\.h\\.b.*lasx_xvhaddw_h_b" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvhaddw_w_h:.*xvhaddw\\.w\\.h.*lasx_xvhaddw_w_h" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvhaddw_d_w:.*xvhaddw\\.d\\.w.*lasx_xvhaddw_d_w" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvhaddw_hu_bu:.*xvhaddw\\.hu\\.bu.*lasx_xvhaddw_hu_bu" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvhaddw_wu_hu:.*xvhaddw\\.wu\\.hu.*lasx_xvhaddw_wu_hu" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvhaddw_du_wu:.*xvhaddw\\.du\\.wu.*lasx_xvhaddw_du_wu" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvhsubw_h_b:.*xvhsubw\\.h\\.b.*lasx_xvhsubw_h_b" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvhsubw_w_h:.*xvhsubw\\.w\\.h.*lasx_xvhsubw_w_h" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvhsubw_d_w:.*xvhsubw\\.d\\.w.*lasx_xvhsubw_d_w" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvhsubw_hu_bu:.*xvhsubw\\.hu\\.bu.*lasx_xvhsubw_hu_bu" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvhsubw_wu_hu:.*xvhsubw\\.wu\\.hu.*lasx_xvhsubw_wu_hu" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvhsubw_du_wu:.*xvhsubw\\.du\\.wu.*lasx_xvhsubw_du_wu" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvmod_b:.*xvmod\\.b.*lasx_xvmod_b" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvmod_h:.*xvmod\\.h.*lasx_xvmod_h" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvmod_w:.*xvmod\\.w.*lasx_xvmod_w" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvmod_d:.*xvmod\\.d.*lasx_xvmod_d" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvmod_bu:.*xvmod\\.bu.*lasx_xvmod_bu" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvmod_hu:.*xvmod\\.hu.*lasx_xvmod_hu" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvmod_wu:.*xvmod\\.wu.*lasx_xvmod_wu" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvmod_du:.*xvmod\\.du.*lasx_xvmod_du" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvrepl128vei_b:.*xvrepl128vei\\.b.*lasx_xvrepl128vei_b" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvrepl128vei_h:.*xvrepl128vei\\.h.*lasx_xvrepl128vei_h" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvrepl128vei_w:.*xvrepl128vei\\.w.*lasx_xvrepl128vei_w" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvrepl128vei_d:.*xvrepl128vei\\.d.*lasx_xvrepl128vei_d" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvpickev_b:.*xvpickev\\.b.*lasx_xvpickev_b" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvpickev_h:.*xvpickev\\.h.*lasx_xvpickev_h" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvpickev_w:.*xvpickev\\.w.*lasx_xvpickev_w" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvpickev_d:.*xvilvl\\.d.*lasx_xvpickev_d" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvpickod_b:.*xvpickod\\.b.*lasx_xvpickod_b" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvpickod_h:.*xvpickod\\.h.*lasx_xvpickod_h" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvpickod_w:.*xvpickod\\.w.*lasx_xvpickod_w" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvpickod_d:.*xvilvh\\.d.*lasx_xvpickod_d" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvilvh_b:.*xvilvh\\.b.*lasx_xvilvh_b" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvilvh_h:.*xvilvh\\.h.*lasx_xvilvh_h" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvilvh_w:.*xvilvh\\.w.*lasx_xvilvh_w" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvilvh_d:.*xvilvh\\.d.*lasx_xvilvh_d" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvilvl_b:.*xvilvl\\.b.*lasx_xvilvl_b" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvilvl_h:.*xvilvl\\.h.*lasx_xvilvl_h" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvilvl_w:.*xvilvl\\.w.*lasx_xvilvl_w" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvilvl_d:.*xvilvl\\.d.*lasx_xvilvl_d" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvpackev_b:.*xvpackev\\.b.*lasx_xvpackev_b" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvpackev_h:.*xvpackev\\.h.*lasx_xvpackev_h" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvpackev_w:.*xvpackev\\.w.*lasx_xvpackev_w" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvpackev_d:.*xvilvl\\.d.*lasx_xvpackev_d" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvpackod_b:.*xvpackod\\.b.*lasx_xvpackod_b" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvpackod_h:.*xvpackod\\.h.*lasx_xvpackod_h" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvpackod_w:.*xvpackod\\.w.*lasx_xvpackod_w" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvpackod_d:.*xvilvh\\.d.*lasx_xvpackod_d" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvshuf_b:.*xvshuf\\.b.*lasx_xvshuf_b" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvshuf_h:.*xvshuf\\.h.*lasx_xvshuf_h" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvshuf_w:.*xvshuf\\.w.*lasx_xvshuf_w" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvshuf_d:.*xvshuf\\.d.*lasx_xvshuf_d" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvand_v:.*xvand\\.v.*lasx_xvand_v" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvandi_b:.*xvandi\\.b.*lasx_xvandi_b" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvor_v:.*xvor\\.v.*lasx_xvor_v" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvori_b:.*xvbitseti\\.b.*lasx_xvori_b" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvnor_v:.*xvnor\\.v.*lasx_xvnor_v" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvnori_b:.*xvnori\\.b.*lasx_xvnori_b" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvxor_v:.*xvxor\\.v.*lasx_xvxor_v" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvxori_b:.*xvbitrevi\\.b.*lasx_xvxori_b" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvbitsel_v:.*xvbitsel\\.v.*lasx_xvbitsel_v" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvbitseli_b:.*xvbitseli\\.b.*lasx_xvbitseli_b" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvshuf4i_b:.*xvshuf4i\\.b.*lasx_xvshuf4i_b" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvshuf4i_h:.*xvshuf4i\\.h.*lasx_xvshuf4i_h" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvshuf4i_w:.*xvshuf4i\\.w.*lasx_xvshuf4i_w" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvreplgr2vr_b:.*xvreplgr2vr\\.b.*lasx_xvreplgr2vr_b" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvreplgr2vr_h:.*xvreplgr2vr\\.h.*lasx_xvreplgr2vr_h" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvreplgr2vr_w:.*xvreplgr2vr\\.w.*lasx_xvreplgr2vr_w" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvreplgr2vr_d:.*xvreplgr2vr\\.d.*lasx_xvreplgr2vr_d" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvpcnt_b:.*xvpcnt\\.b.*lasx_xvpcnt_b" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvpcnt_h:.*xvpcnt\\.h.*lasx_xvpcnt_h" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvpcnt_w:.*xvpcnt\\.w.*lasx_xvpcnt_w" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvpcnt_d:.*xvpcnt\\.d.*lasx_xvpcnt_d" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvclo_b:.*xvclo\\.b.*lasx_xvclo_b" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvclo_h:.*xvclo\\.h.*lasx_xvclo_h" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvclo_w:.*xvclo\\.w.*lasx_xvclo_w" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvclo_d:.*xvclo\\.d.*lasx_xvclo_d" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvclz_b:.*xvclz\\.b.*lasx_xvclz_b" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvclz_h:.*xvclz\\.h.*lasx_xvclz_h" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvclz_w:.*xvclz\\.w.*lasx_xvclz_w" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvclz_d:.*xvclz\\.d.*lasx_xvclz_d" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvfadd_s:.*xvfadd\\.s.*lasx_xvfadd_s" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvfadd_d:.*xvfadd\\.d.*lasx_xvfadd_d" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvfsub_s:.*xvfsub\\.s.*lasx_xvfsub_s" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvfsub_d:.*xvfsub\\.d.*lasx_xvfsub_d" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvfmul_s:.*xvfmul\\.s.*lasx_xvfmul_s" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvfmul_d:.*xvfmul\\.d.*lasx_xvfmul_d" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvfdiv_s:.*xvfdiv\\.s.*lasx_xvfdiv_s" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvfdiv_d:.*xvfdiv\\.d.*lasx_xvfdiv_d" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvfcvt_h_s:.*xvfcvt\\.h\\.s.*lasx_xvfcvt_h_s" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvfcvt_s_d:.*xvfcvt\\.s\\.d.*lasx_xvfcvt_s_d" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvfmin_s:.*xvfmin\\.s.*lasx_xvfmin_s" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvfmin_d:.*xvfmin\\.d.*lasx_xvfmin_d" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvfmina_s:.*xvfmina\\.s.*lasx_xvfmina_s" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvfmina_d:.*xvfmina\\.d.*lasx_xvfmina_d" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvfmax_s:.*xvfmax\\.s.*lasx_xvfmax_s" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvfmax_d:.*xvfmax\\.d.*lasx_xvfmax_d" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvfmaxa_s:.*xvfmaxa\\.s.*lasx_xvfmaxa_s" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvfmaxa_d:.*xvfmaxa\\.d.*lasx_xvfmaxa_d" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvfclass_s:.*xvfclass\\.s.*lasx_xvfclass_s" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvfclass_d:.*xvfclass\\.d.*lasx_xvfclass_d" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvfsqrt_s:.*xvfsqrt\\.s.*lasx_xvfsqrt_s" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvfsqrt_d:.*xvfsqrt\\.d.*lasx_xvfsqrt_d" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvfrecip_s:.*xvfrecip\\.s.*lasx_xvfrecip_s" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvfrecip_d:.*xvfrecip\\.d.*lasx_xvfrecip_d" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvfrint_s:.*xvfrint\\.s.*lasx_xvfrint_s" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvfrint_d:.*xvfrint\\.d.*lasx_xvfrint_d" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvfrsqrt_s:.*xvfrsqrt\\.s.*lasx_xvfrsqrt_s" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvfrsqrt_d:.*xvfrsqrt\\.d.*lasx_xvfrsqrt_d" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvflogb_s:.*xvflogb\\.s.*lasx_xvflogb_s" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvflogb_d:.*xvflogb\\.d.*lasx_xvflogb_d" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvfcvth_s_h:.*xvfcvth\\.s\\.h.*lasx_xvfcvth_s_h" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvfcvth_d_s:.*xvfcvth\\.d\\.s.*lasx_xvfcvth_d_s" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvfcvtl_s_h:.*xvfcvtl\\.s\\.h.*lasx_xvfcvtl_s_h" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvfcvtl_d_s:.*xvfcvtl\\.d\\.s.*lasx_xvfcvtl_d_s" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvftint_w_s:.*xvftint\\.w\\.s.*lasx_xvftint_w_s" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvftint_l_d:.*xvftint\\.l\\.d.*lasx_xvftint_l_d" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvftint_wu_s:.*xvftint\\.wu\\.s.*lasx_xvftint_wu_s" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvftint_lu_d:.*xvftint\\.lu\\.d.*lasx_xvftint_lu_d" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvftintrz_w_s:.*xvftintrz\\.w\\.s.*lasx_xvftintrz_w_s" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvftintrz_l_d:.*xvftintrz\\.l\\.d.*lasx_xvftintrz_l_d" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvftintrz_wu_s:.*xvftintrz\\.wu\\.s.*lasx_xvftintrz_wu_s" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvftintrz_lu_d:.*xvftintrz\\.lu\\.d.*lasx_xvftintrz_lu_d" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvffint_s_w:.*xvffint\\.s\\.w.*lasx_xvffint_s_w" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvffint_d_l:.*xvffint\\.d\\.l.*lasx_xvffint_d_l" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvffint_s_wu:.*xvffint\\.s\\.wu.*lasx_xvffint_s_wu" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvffint_d_lu:.*xvffint\\.d\\.lu.*lasx_xvffint_d_lu" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvreplve_b:.*xvreplve\\.b.*lasx_xvreplve_b" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvreplve_h:.*xvreplve\\.h.*lasx_xvreplve_h" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvreplve_w:.*xvreplve\\.w.*lasx_xvreplve_w" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvreplve_d:.*xvreplve\\.d.*lasx_xvreplve_d" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvpermi_w:.*xvpermi\\.w.*lasx_xvpermi_w" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvandn_v:.*xvandn\\.v.*lasx_xvandn_v" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvneg_b:.*xvneg\\.b.*lasx_xvneg_b" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvneg_h:.*xvneg\\.h.*lasx_xvneg_h" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvneg_w:.*xvneg\\.w.*lasx_xvneg_w" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvneg_d:.*xvneg\\.d.*lasx_xvneg_d" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvmuh_b:.*xvmuh\\.b.*lasx_xvmuh_b" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvmuh_h:.*xvmuh\\.h.*lasx_xvmuh_h" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvmuh_w:.*xvmuh\\.w.*lasx_xvmuh_w" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvmuh_d:.*xvmuh\\.d.*lasx_xvmuh_d" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvmuh_bu:.*xvmuh\\.bu.*lasx_xvmuh_bu" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvmuh_hu:.*xvmuh\\.hu.*lasx_xvmuh_hu" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvmuh_wu:.*xvmuh\\.wu.*lasx_xvmuh_wu" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvmuh_du:.*xvmuh\\.du.*lasx_xvmuh_du" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvsllwil_h_b:.*xvsllwil\\.h\\.b.*lasx_xvsllwil_h_b" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvsllwil_w_h:.*xvsllwil\\.w\\.h.*lasx_xvsllwil_w_h" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvsllwil_d_w:.*xvsllwil\\.d\\.w.*lasx_xvsllwil_d_w" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvsllwil_hu_bu:.*xvsllwil\\.hu\\.bu.*lasx_xvsllwil_hu_bu" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvsllwil_wu_hu:.*xvsllwil\\.wu\\.hu.*lasx_xvsllwil_wu_hu" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvsllwil_du_wu:.*xvsllwil\\.du\\.wu.*lasx_xvsllwil_du_wu" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvsran_b_h:.*xvsran\\.b\\.h.*lasx_xvsran_b_h" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvsran_h_w:.*xvsran\\.h\\.w.*lasx_xvsran_h_w" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvsran_w_d:.*xvsran\\.w\\.d.*lasx_xvsran_w_d" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvssran_b_h:.*xvssran\\.b\\.h.*lasx_xvssran_b_h" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvssran_h_w:.*xvssran\\.h\\.w.*lasx_xvssran_h_w" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvssran_w_d:.*xvssran\\.w\\.d.*lasx_xvssran_w_d" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvssran_bu_h:.*xvssran\\.bu\\.h.*lasx_xvssran_bu_h" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvssran_hu_w:.*xvssran\\.hu\\.w.*lasx_xvssran_hu_w" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvssran_wu_d:.*xvssran\\.wu\\.d.*lasx_xvssran_wu_d" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvsrarn_b_h:.*xvsrarn\\.b\\.h.*lasx_xvsrarn_b_h" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvsrarn_h_w:.*xvsrarn\\.h\\.w.*lasx_xvsrarn_h_w" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvsrarn_w_d:.*xvsrarn\\.w\\.d.*lasx_xvsrarn_w_d" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvssrarn_b_h:.*xvssrarn\\.b\\.h.*lasx_xvssrarn_b_h" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvssrarn_h_w:.*xvssrarn\\.h\\.w.*lasx_xvssrarn_h_w" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvssrarn_w_d:.*xvssrarn\\.w\\.d.*lasx_xvssrarn_w_d" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvssrarn_bu_h:.*xvssrarn\\.bu\\.h.*lasx_xvssrarn_bu_h" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvssrarn_hu_w:.*xvssrarn\\.hu\\.w.*lasx_xvssrarn_hu_w" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvssrarn_wu_d:.*xvssrarn\\.wu\\.d.*lasx_xvssrarn_wu_d" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvsrln_b_h:.*xvsrln\\.b\\.h.*lasx_xvsrln_b_h" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvsrln_h_w:.*xvsrln\\.h\\.w.*lasx_xvsrln_h_w" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvsrln_w_d:.*xvsrln\\.w\\.d.*lasx_xvsrln_w_d" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvssrln_bu_h:.*xvssrln\\.bu\\.h.*lasx_xvssrln_bu_h" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvssrln_hu_w:.*xvssrln\\.hu\\.w.*lasx_xvssrln_hu_w" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvssrln_wu_d:.*xvssrln\\.wu\\.d.*lasx_xvssrln_wu_d" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvsrlrn_b_h:.*xvsrlrn\\.b\\.h.*lasx_xvsrlrn_b_h" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvsrlrn_h_w:.*xvsrlrn\\.h\\.w.*lasx_xvsrlrn_h_w" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvsrlrn_w_d:.*xvsrlrn\\.w\\.d.*lasx_xvsrlrn_w_d" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvssrlrn_bu_h:.*xvssrlrn\\.bu\\.h.*lasx_xvssrlrn_bu_h" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvssrlrn_hu_w:.*xvssrlrn\\.hu\\.w.*lasx_xvssrlrn_hu_w" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvssrlrn_wu_d:.*xvssrlrn\\.wu\\.d.*lasx_xvssrlrn_wu_d" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvfrstpi_b:.*xvfrstpi\\.b.*lasx_xvfrstpi_b" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvfrstpi_h:.*xvfrstpi\\.h.*lasx_xvfrstpi_h" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvfrstp_b:.*xvfrstp\\.b.*lasx_xvfrstp_b" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvfrstp_h:.*xvfrstp\\.h.*lasx_xvfrstp_h" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvshuf4i_d:.*xvshuf4i\\.d.*lasx_xvshuf4i_d" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvbsrl_v:.*xvbsrl\\.v.*lasx_xvbsrl_v" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvbsll_v:.*xvbsll\\.v.*lasx_xvbsll_v" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvextrins_b:.*xvextrins\\.b.*lasx_xvextrins_b" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvextrins_h:.*xvextrins\\.h.*lasx_xvextrins_h" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvextrins_w:.*xvextrins\\.w.*lasx_xvextrins_w" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvextrins_d:.*xvextrins\\.d.*lasx_xvextrins_d" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvmskltz_b:.*xvmskltz\\.b.*lasx_xvmskltz_b" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvmskltz_h:.*xvmskltz\\.h.*lasx_xvmskltz_h" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvmskltz_w:.*xvmskltz\\.w.*lasx_xvmskltz_w" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvmskltz_d:.*xvmskltz\\.d.*lasx_xvmskltz_d" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvsigncov_b:.*xvsigncov\\.b.*lasx_xvsigncov_b" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvsigncov_h:.*xvsigncov\\.h.*lasx_xvsigncov_h" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvsigncov_w:.*xvsigncov\\.w.*lasx_xvsigncov_w" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvsigncov_d:.*xvsigncov\\.d.*lasx_xvsigncov_d" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvfmadd_s:.*xvfmadd\\.s.*lasx_xvfmadd_s" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvfmadd_d:.*xvfmadd\\.d.*lasx_xvfmadd_d" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvfmsub_s:.*xvfmsub\\.s.*lasx_xvfmsub_s" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvfmsub_d:.*xvfmsub\\.d.*lasx_xvfmsub_d" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvfnmadd_s:.*xvfnmadd\\.s.*lasx_xvfnmadd_s" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvfnmadd_d:.*xvfnmadd\\.d.*lasx_xvfnmadd_d" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvfnmsub_s:.*xvfnmsub\\.s.*lasx_xvfnmsub_s" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvfnmsub_d:.*xvfnmsub\\.d.*lasx_xvfnmsub_d" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvftintrne_w_s:.*xvftintrne\\.w\\.s.*lasx_xvftintrne_w_s" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvftintrne_l_d:.*xvftintrne\\.l\\.d.*lasx_xvftintrne_l_d" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvftintrp_w_s:.*xvftintrp\\.w\\.s.*lasx_xvftintrp_w_s" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvftintrp_l_d:.*xvftintrp\\.l\\.d.*lasx_xvftintrp_l_d" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvftintrm_w_s:.*xvftintrm\\.w\\.s.*lasx_xvftintrm_w_s" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvftintrm_l_d:.*xvftintrm\\.l\\.d.*lasx_xvftintrm_l_d" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvftint_w_d:.*xvftint\\.w\\.d.*lasx_xvftint_w_d" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvffint_s_l:.*xvffint\\.s\\.l.*lasx_xvffint_s_l" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvftintrz_w_d:.*xvftintrz\\.w\\.d.*lasx_xvftintrz_w_d" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvftintrp_w_d:.*xvftintrp\\.w\\.d.*lasx_xvftintrp_w_d" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvftintrm_w_d:.*xvftintrm\\.w\\.d.*lasx_xvftintrm_w_d" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvftintrne_w_d:.*xvftintrne\\.w\\.d.*lasx_xvftintrne_w_d" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvftinth_l_s:.*xvftinth\\.l\\.s.*lasx_xvftinth_l_s" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvftintl_l_s:.*xvftintl\\.l\\.s.*lasx_xvftintl_l_s" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvffinth_d_w:.*xvffinth\\.d\\.w.*lasx_xvffinth_d_w" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvffintl_d_w:.*xvffintl\\.d\\.w.*lasx_xvffintl_d_w" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvftintrzh_l_s:.*xvftintrzh\\.l\\.s.*lasx_xvftintrzh_l_s" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvftintrzl_l_s:.*xvftintrzl\\.l\\.s.*lasx_xvftintrzl_l_s" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvftintrph_l_s:.*xvftintrph\\.l\\.s.*lasx_xvftintrph_l_s" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvftintrpl_l_s:.*xvftintrpl\\.l\\.s.*lasx_xvftintrpl_l_s" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvftintrmh_l_s:.*xvftintrmh\\.l\\.s.*lasx_xvftintrmh_l_s" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvftintrml_l_s:.*xvftintrml\\.l\\.s.*lasx_xvftintrml_l_s" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvftintrneh_l_s:.*xvftintrneh\\.l\\.s.*lasx_xvftintrneh_l_s" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvftintrnel_l_s:.*xvftintrnel\\.l\\.s.*lasx_xvftintrnel_l_s" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvfrintrne_s:.*xvfrintrne\\.s.*lasx_xvfrintrne_s" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvfrintrne_d:.*xvfrintrne\\.d.*lasx_xvfrintrne_d" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvfrintrz_s:.*xvfrintrz\\.s.*lasx_xvfrintrz_s" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvfrintrz_d:.*xvfrintrz\\.d.*lasx_xvfrintrz_d" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvfrintrp_s:.*xvfrintrp\\.s.*lasx_xvfrintrp_s" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvfrintrp_d:.*xvfrintrp\\.d.*lasx_xvfrintrp_d" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvfrintrm_s:.*xvfrintrm\\.s.*lasx_xvfrintrm_s" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvfrintrm_d:.*xvfrintrm\\.d.*lasx_xvfrintrm_d" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvld:.*xvld.*lasx_xvld" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvst:.*xvst.*lasx_xvst" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvstelm_b:.*xvstelm\\.b.*lasx_xvstelm_b" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvstelm_h:.*xvstelm\\.h.*lasx_xvstelm_h" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvstelm_w:.*xvstelm\\.w.*lasx_xvstelm_w" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvstelm_d:.*xvstelm\\.d.*lasx_xvstelm_d" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvinsve0_w:.*xvinsve0\\.w.*lasx_xvinsve0_w" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvinsve0_d:.*xvinsve0\\.d.*lasx_xvinsve0_d" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvpickve_w:.*xvpickve\\.w.*lasx_xvpickve_w" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvpickve_d:.*xvpickve\\.d.*lasx_xvpickve_d" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvssrlrn_b_h:.*xvssrlrn\\.b\\.h.*lasx_xvssrlrn_b_h" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvssrlrn_h_w:.*xvssrlrn\\.h\\.w.*lasx_xvssrlrn_h_w" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvssrlrn_w_d:.*xvssrlrn\\.w\\.d.*lasx_xvssrlrn_w_d" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvssrln_b_h:.*xvssrln\\.b\\.h.*lasx_xvssrln_b_h" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvssrln_h_w:.*xvssrln\\.h\\.w.*lasx_xvssrln_h_w" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvssrln_w_d:.*xvssrln\\.w\\.d.*lasx_xvssrln_w_d" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvorn_v:.*xvorn\\.v.*lasx_xvorn_v" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvldi:.*xvldi.*lasx_xvldi" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvldx:.*xvldx.*lasx_xvldx" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvstx:.*xvstx.*lasx_xvstx" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvextl_qu_du:.*xvextl\\.qu\\.du.*lasx_xvextl_qu_du" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvinsgr2vr_w:.*xvinsgr2vr\\.w.*lasx_xvinsgr2vr_w" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvinsgr2vr_d:.*xvinsgr2vr\\.d.*lasx_xvinsgr2vr_d" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvreplve0_b:.*xvreplve0\\.b.*lasx_xvreplve0_b" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvreplve0_h:.*xvreplve0\\.h.*lasx_xvreplve0_h" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvreplve0_w:.*xvreplve0\\.w.*lasx_xvreplve0_w" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvreplve0_d:.*xvreplve0\\.d.*lasx_xvreplve0_d" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvreplve0_q:.*xvreplve0\\.q.*lasx_xvreplve0_q" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_vext2xv_h_b:.*vext2xv\\.h\\.b.*lasx_vext2xv_h_b" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_vext2xv_w_h:.*vext2xv\\.w\\.h.*lasx_vext2xv_w_h" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_vext2xv_d_w:.*vext2xv\\.d\\.w.*lasx_vext2xv_d_w" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_vext2xv_w_b:.*vext2xv\\.w\\.b.*lasx_vext2xv_w_b" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_vext2xv_d_h:.*vext2xv\\.d\\.h.*lasx_vext2xv_d_h" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_vext2xv_d_b:.*vext2xv\\.d\\.b.*lasx_vext2xv_d_b" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_vext2xv_hu_bu:.*vext2xv\\.hu\\.bu.*lasx_vext2xv_hu_bu" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_vext2xv_wu_hu:.*vext2xv\\.wu\\.hu.*lasx_vext2xv_wu_hu" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_vext2xv_du_wu:.*vext2xv\\.du\\.wu.*lasx_vext2xv_du_wu" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_vext2xv_wu_bu:.*vext2xv\\.wu\\.bu.*lasx_vext2xv_wu_bu" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_vext2xv_du_hu:.*vext2xv\\.du\\.hu.*lasx_vext2xv_du_hu" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_vext2xv_du_bu:.*vext2xv\\.du\\.bu.*lasx_vext2xv_du_bu" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvpermi_q:.*xvpermi\\.q.*lasx_xvpermi_q" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvpermi_d:.*xvpermi\\.d.*lasx_xvpermi_d" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvperm_w:.*xvperm\\.w.*lasx_xvperm_w" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvldrepl_b:.*xvldrepl\\.b.*lasx_xvldrepl_b" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvldrepl_h:.*xvldrepl\\.h.*lasx_xvldrepl_h" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvldrepl_w:.*xvldrepl\\.w.*lasx_xvldrepl_w" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvldrepl_d:.*xvldrepl\\.d.*lasx_xvldrepl_d" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvpickve2gr_w:.*xvpickve2gr\\.w.*lasx_xvpickve2gr_w" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvpickve2gr_wu:.*xvpickve2gr\\.wu.*lasx_xvpickve2gr_wu" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvpickve2gr_d:.*xvpickve2gr\\.d.*lasx_xvpickve2gr_d" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvpickve2gr_du:.*xvpickve2gr\\.du.*lasx_xvpickve2gr_du" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvaddwev_q_d:.*xvaddwev\\.q\\.d.*lasx_xvaddwev_q_d" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvaddwev_d_w:.*xvaddwev\\.d\\.w.*lasx_xvaddwev_d_w" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvaddwev_w_h:.*xvaddwev\\.w\\.h.*lasx_xvaddwev_w_h" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvaddwev_h_b:.*xvaddwev\\.h\\.b.*lasx_xvaddwev_h_b" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvaddwev_q_du:.*xvaddwev\\.q\\.du.*lasx_xvaddwev_q_du" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvaddwev_d_wu:.*xvaddwev\\.d\\.wu.*lasx_xvaddwev_d_wu" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvaddwev_w_hu:.*xvaddwev\\.w\\.hu.*lasx_xvaddwev_w_hu" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvaddwev_h_bu:.*xvaddwev\\.h\\.bu.*lasx_xvaddwev_h_bu" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvsubwev_q_d:.*xvsubwev\\.q\\.d.*lasx_xvsubwev_q_d" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvsubwev_d_w:.*xvsubwev\\.d\\.w.*lasx_xvsubwev_d_w" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvsubwev_w_h:.*xvsubwev\\.w\\.h.*lasx_xvsubwev_w_h" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvsubwev_h_b:.*xvsubwev\\.h\\.b.*lasx_xvsubwev_h_b" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvsubwev_q_du:.*xvsubwev\\.q\\.du.*lasx_xvsubwev_q_du" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvsubwev_d_wu:.*xvsubwev\\.d\\.wu.*lasx_xvsubwev_d_wu" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvsubwev_w_hu:.*xvsubwev\\.w\\.hu.*lasx_xvsubwev_w_hu" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvsubwev_h_bu:.*xvsubwev\\.h\\.bu.*lasx_xvsubwev_h_bu" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvmulwev_q_d:.*xvmulwev\\.q\\.d.*lasx_xvmulwev_q_d" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvmulwev_d_w:.*xvmulwev\\.d\\.w.*lasx_xvmulwev_d_w" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvmulwev_w_h:.*xvmulwev\\.w\\.h.*lasx_xvmulwev_w_h" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvmulwev_h_b:.*xvmulwev\\.h\\.b.*lasx_xvmulwev_h_b" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvmulwev_q_du:.*xvmulwev\\.q\\.du.*lasx_xvmulwev_q_du" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvmulwev_d_wu:.*xvmulwev\\.d\\.wu.*lasx_xvmulwev_d_wu" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvmulwev_w_hu:.*xvmulwev\\.w\\.hu.*lasx_xvmulwev_w_hu" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvmulwev_h_bu:.*xvmulwev\\.h\\.bu.*lasx_xvmulwev_h_bu" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvaddwod_q_d:.*xvaddwod\\.q\\.d.*lasx_xvaddwod_q_d" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvaddwod_d_w:.*xvaddwod\\.d\\.w.*lasx_xvaddwod_d_w" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvaddwod_w_h:.*xvaddwod\\.w\\.h.*lasx_xvaddwod_w_h" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvaddwod_h_b:.*xvaddwod\\.h\\.b.*lasx_xvaddwod_h_b" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvaddwod_q_du:.*xvaddwod\\.q\\.du.*lasx_xvaddwod_q_du" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvaddwod_d_wu:.*xvaddwod\\.d\\.wu.*lasx_xvaddwod_d_wu" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvaddwod_w_hu:.*xvaddwod\\.w\\.hu.*lasx_xvaddwod_w_hu" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvaddwod_h_bu:.*xvaddwod\\.h\\.bu.*lasx_xvaddwod_h_bu" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvsubwod_q_d:.*xvsubwod\\.q\\.d.*lasx_xvsubwod_q_d" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvsubwod_d_w:.*xvsubwod\\.d\\.w.*lasx_xvsubwod_d_w" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvsubwod_w_h:.*xvsubwod\\.w\\.h.*lasx_xvsubwod_w_h" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvsubwod_h_b:.*xvsubwod\\.h\\.b.*lasx_xvsubwod_h_b" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvsubwod_q_du:.*xvsubwod\\.q\\.du.*lasx_xvsubwod_q_du" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvsubwod_d_wu:.*xvsubwod\\.d\\.wu.*lasx_xvsubwod_d_wu" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvsubwod_w_hu:.*xvsubwod\\.w\\.hu.*lasx_xvsubwod_w_hu" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvsubwod_h_bu:.*xvsubwod\\.h\\.bu.*lasx_xvsubwod_h_bu" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvmulwod_q_d:.*xvmulwod\\.q\\.d.*lasx_xvmulwod_q_d" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvmulwod_d_w:.*xvmulwod\\.d\\.w.*lasx_xvmulwod_d_w" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvmulwod_w_h:.*xvmulwod\\.w\\.h.*lasx_xvmulwod_w_h" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvmulwod_h_b:.*xvmulwod\\.h\\.b.*lasx_xvmulwod_h_b" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvmulwod_q_du:.*xvmulwod\\.q\\.du.*lasx_xvmulwod_q_du" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvmulwod_d_wu:.*xvmulwod\\.d\\.wu.*lasx_xvmulwod_d_wu" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvmulwod_w_hu:.*xvmulwod\\.w\\.hu.*lasx_xvmulwod_w_hu" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvmulwod_h_bu:.*xvmulwod\\.h\\.bu.*lasx_xvmulwod_h_bu" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvaddwev_d_wu_w:.*xvaddwev\\.d\\.wu\\.w.*lasx_xvaddwev_d_wu_w" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvaddwev_w_hu_h:.*xvaddwev\\.w\\.hu\\.h.*lasx_xvaddwev_w_hu_h" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvaddwev_h_bu_b:.*xvaddwev\\.h\\.bu\\.b.*lasx_xvaddwev_h_bu_b" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvmulwev_d_wu_w:.*xvmulwev\\.d\\.wu\\.w.*lasx_xvmulwev_d_wu_w" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvmulwev_w_hu_h:.*xvmulwev\\.w\\.hu\\.h.*lasx_xvmulwev_w_hu_h" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvmulwev_h_bu_b:.*xvmulwev\\.h\\.bu\\.b.*lasx_xvmulwev_h_bu_b" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvaddwod_d_wu_w:.*xvaddwod\\.d\\.wu\\.w.*lasx_xvaddwod_d_wu_w" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvaddwod_w_hu_h:.*xvaddwod\\.w\\.hu\\.h.*lasx_xvaddwod_w_hu_h" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvaddwod_h_bu_b:.*xvaddwod\\.h\\.bu\\.b.*lasx_xvaddwod_h_bu_b" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvmulwod_d_wu_w:.*xvmulwod\\.d\\.wu\\.w.*lasx_xvmulwod_d_wu_w" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvmulwod_w_hu_h:.*xvmulwod\\.w\\.hu\\.h.*lasx_xvmulwod_w_hu_h" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvmulwod_h_bu_b:.*xvmulwod\\.h\\.bu\\.b.*lasx_xvmulwod_h_bu_b" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvhaddw_q_d:.*xvhaddw\\.q\\.d.*lasx_xvhaddw_q_d" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvhaddw_qu_du:.*xvhaddw\\.qu\\.du.*lasx_xvhaddw_qu_du" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvhsubw_q_d:.*xvhsubw\\.q\\.d.*lasx_xvhsubw_q_d" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvhsubw_qu_du:.*xvhsubw\\.qu\\.du.*lasx_xvhsubw_qu_du" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvmaddwev_q_d:.*xvmaddwev\\.q\\.d.*lasx_xvmaddwev_q_d" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvmaddwev_d_w:.*xvmaddwev\\.d\\.w.*lasx_xvmaddwev_d_w" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvmaddwev_w_h:.*xvmaddwev\\.w\\.h.*lasx_xvmaddwev_w_h" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvmaddwev_h_b:.*xvmaddwev\\.h\\.b.*lasx_xvmaddwev_h_b" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvmaddwev_q_du:.*xvmaddwev\\.q\\.du.*lasx_xvmaddwev_q_du" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvmaddwev_d_wu:.*xvmaddwev\\.d\\.wu.*lasx_xvmaddwev_d_wu" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvmaddwev_w_hu:.*xvmaddwev\\.w\\.hu.*lasx_xvmaddwev_w_hu" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvmaddwev_h_bu:.*xvmaddwev\\.h\\.bu.*lasx_xvmaddwev_h_bu" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvmaddwod_q_d:.*xvmaddwod\\.q\\.d.*lasx_xvmaddwod_q_d" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvmaddwod_d_w:.*xvmaddwod\\.d\\.w.*lasx_xvmaddwod_d_w" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvmaddwod_w_h:.*xvmaddwod\\.w\\.h.*lasx_xvmaddwod_w_h" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvmaddwod_h_b:.*xvmaddwod\\.h\\.b.*lasx_xvmaddwod_h_b" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvmaddwod_q_du:.*xvmaddwod\\.q\\.du.*lasx_xvmaddwod_q_du" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvmaddwod_d_wu:.*xvmaddwod\\.d\\.wu.*lasx_xvmaddwod_d_wu" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvmaddwod_w_hu:.*xvmaddwod\\.w\\.hu.*lasx_xvmaddwod_w_hu" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvmaddwod_h_bu:.*xvmaddwod\\.h\\.bu.*lasx_xvmaddwod_h_bu" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvmaddwev_q_du_d:.*xvmaddwev\\.q\\.du\\.d.*lasx_xvmaddwev_q_du_d" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvmaddwev_d_wu_w:.*xvmaddwev\\.d\\.wu\\.w.*lasx_xvmaddwev_d_wu_w" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvmaddwev_w_hu_h:.*xvmaddwev\\.w\\.hu\\.h.*lasx_xvmaddwev_w_hu_h" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvmaddwev_h_bu_b:.*xvmaddwev\\.h\\.bu\\.b.*lasx_xvmaddwev_h_bu_b" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvmaddwod_q_du_d:.*xvmaddwod\\.q\\.du\\.d.*lasx_xvmaddwod_q_du_d" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvmaddwod_d_wu_w:.*xvmaddwod\\.d\\.wu\\.w.*lasx_xvmaddwod_d_wu_w" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvmaddwod_w_hu_h:.*xvmaddwod\\.w\\.hu\\.h.*lasx_xvmaddwod_w_hu_h" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvmaddwod_h_bu_b:.*xvmaddwod\\.h\\.bu\\.b.*lasx_xvmaddwod_h_bu_b" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvrotr_b:.*xvrotr\\.b.*lasx_xvrotr_b" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvrotr_h:.*xvrotr\\.h.*lasx_xvrotr_h" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvrotr_w:.*xvrotr\\.w.*lasx_xvrotr_w" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvrotr_d:.*xvrotr\\.d.*lasx_xvrotr_d" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvadd_q:.*xvadd\\.q.*lasx_xvadd_q" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvsub_q:.*xvsub\\.q.*lasx_xvsub_q" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvaddwev_q_du_d:.*xvaddwev\\.q\\.du\\.d.*lasx_xvaddwev_q_du_d" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvaddwod_q_du_d:.*xvaddwod\\.q\\.du\\.d.*lasx_xvaddwod_q_du_d" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvmulwev_q_du_d:.*xvmulwev\\.q\\.du\\.d.*lasx_xvmulwev_q_du_d" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvmulwod_q_du_d:.*xvmulwod\\.q\\.du\\.d.*lasx_xvmulwod_q_du_d" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvmskgez_b:.*xvmskgez\\.b.*lasx_xvmskgez_b" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvmsknz_b:.*xvmsknz\\.b.*lasx_xvmsknz_b" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvexth_h_b:.*xvexth\\.h\\.b.*lasx_xvexth_h_b" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvexth_w_h:.*xvexth\\.w\\.h.*lasx_xvexth_w_h" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvexth_d_w:.*xvexth\\.d\\.w.*lasx_xvexth_d_w" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvexth_q_d:.*xvexth\\.q\\.d.*lasx_xvexth_q_d" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvexth_hu_bu:.*xvexth\\.hu\\.bu.*lasx_xvexth_hu_bu" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvexth_wu_hu:.*xvexth\\.wu\\.hu.*lasx_xvexth_wu_hu" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvexth_du_wu:.*xvexth\\.du\\.wu.*lasx_xvexth_du_wu" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvexth_qu_du:.*xvexth\\.qu\\.du.*lasx_xvexth_qu_du" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvrotri_b:.*xvrotri\\.b.*lasx_xvrotri_b" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvrotri_h:.*xvrotri\\.h.*lasx_xvrotri_h" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvrotri_w:.*xvrotri\\.w.*lasx_xvrotri_w" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvrotri_d:.*xvrotri\\.d.*lasx_xvrotri_d" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvextl_q_d:.*xvextl\\.q\\.d.*lasx_xvextl_q_d" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvsrlni_b_h:.*xvsrlni\\.b\\.h.*lasx_xvsrlni_b_h" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvsrlni_h_w:.*xvsrlni\\.h\\.w.*lasx_xvsrlni_h_w" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvsrlni_w_d:.*xvsrlni\\.w\\.d.*lasx_xvsrlni_w_d" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvsrlni_d_q:.*xvsrlni\\.d\\.q.*lasx_xvsrlni_d_q" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvsrlrni_b_h:.*xvsrlrni\\.b\\.h.*lasx_xvsrlrni_b_h" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvsrlrni_h_w:.*xvsrlrni\\.h\\.w.*lasx_xvsrlrni_h_w" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvsrlrni_w_d:.*xvsrlrni\\.w\\.d.*lasx_xvsrlrni_w_d" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvsrlrni_d_q:.*xvsrlrni\\.d\\.q.*lasx_xvsrlrni_d_q" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvssrlni_b_h:.*xvssrlni\\.b\\.h.*lasx_xvssrlni_b_h" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvssrlni_h_w:.*xvssrlni\\.h\\.w.*lasx_xvssrlni_h_w" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvssrlni_w_d:.*xvssrlni\\.w\\.d.*lasx_xvssrlni_w_d" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvssrlni_d_q:.*xvssrlni\\.d\\.q.*lasx_xvssrlni_d_q" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvssrlni_bu_h:.*xvssrlni\\.bu\\.h.*lasx_xvssrlni_bu_h" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvssrlni_hu_w:.*xvssrlni\\.hu\\.w.*lasx_xvssrlni_hu_w" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvssrlni_wu_d:.*xvssrlni\\.wu\\.d.*lasx_xvssrlni_wu_d" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvssrlni_du_q:.*xvssrlni\\.du\\.q.*lasx_xvssrlni_du_q" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvssrlrni_b_h:.*xvssrlrni\\.b\\.h.*lasx_xvssrlrni_b_h" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvssrlrni_h_w:.*xvssrlrni\\.h\\.w.*lasx_xvssrlrni_h_w" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvssrlrni_w_d:.*xvssrlrni\\.w\\.d.*lasx_xvssrlrni_w_d" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvssrlrni_d_q:.*xvssrlrni\\.d\\.q.*lasx_xvssrlrni_d_q" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvssrlrni_bu_h:.*xvssrlrni\\.bu\\.h.*lasx_xvssrlrni_bu_h" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvssrlrni_hu_w:.*xvssrlrni\\.hu\\.w.*lasx_xvssrlrni_hu_w" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvssrlrni_wu_d:.*xvssrlrni\\.wu\\.d.*lasx_xvssrlrni_wu_d" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvssrlrni_du_q:.*xvssrlrni\\.du\\.q.*lasx_xvssrlrni_du_q" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvsrani_b_h:.*xvsrani\\.b\\.h.*lasx_xvsrani_b_h" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvsrani_h_w:.*xvsrani\\.h\\.w.*lasx_xvsrani_h_w" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvsrani_w_d:.*xvsrani\\.w\\.d.*lasx_xvsrani_w_d" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvsrani_d_q:.*xvsrani\\.d\\.q.*lasx_xvsrani_d_q" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvsrarni_b_h:.*xvsrarni\\.b\\.h.*lasx_xvsrarni_b_h" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvsrarni_h_w:.*xvsrarni\\.h\\.w.*lasx_xvsrarni_h_w" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvsrarni_w_d:.*xvsrarni\\.w\\.d.*lasx_xvsrarni_w_d" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvsrarni_d_q:.*xvsrarni\\.d\\.q.*lasx_xvsrarni_d_q" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvssrani_b_h:.*xvssrani\\.b\\.h.*lasx_xvssrani_b_h" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvssrani_h_w:.*xvssrani\\.h\\.w.*lasx_xvssrani_h_w" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvssrani_w_d:.*xvssrani\\.w\\.d.*lasx_xvssrani_w_d" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvssrani_d_q:.*xvssrani\\.d\\.q.*lasx_xvssrani_d_q" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvssrani_bu_h:.*xvssrani\\.bu\\.h.*lasx_xvssrani_bu_h" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvssrani_hu_w:.*xvssrani\\.hu\\.w.*lasx_xvssrani_hu_w" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvssrani_wu_d:.*xvssrani\\.wu\\.d.*lasx_xvssrani_wu_d" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvssrani_du_q:.*xvssrani\\.du\\.q.*lasx_xvssrani_du_q" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvssrarni_b_h:.*xvssrarni\\.b\\.h.*lasx_xvssrarni_b_h" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvssrarni_h_w:.*xvssrarni\\.h\\.w.*lasx_xvssrarni_h_w" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvssrarni_w_d:.*xvssrarni\\.w\\.d.*lasx_xvssrarni_w_d" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvssrarni_d_q:.*xvssrarni\\.d\\.q.*lasx_xvssrarni_d_q" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvssrarni_bu_h:.*xvssrarni\\.bu\\.h.*lasx_xvssrarni_bu_h" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvssrarni_hu_w:.*xvssrarni\\.hu\\.w.*lasx_xvssrarni_hu_w" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvssrarni_wu_d:.*xvssrarni\\.wu\\.d.*lasx_xvssrarni_wu_d" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvssrarni_du_q:.*xvssrarni\\.du\\.q.*lasx_xvssrarni_du_q" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xbnz_b:.*xvsetanyeqz\\.b.*lasx_xbnz_b" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xbnz_d:.*xvsetanyeqz\\.d.*lasx_xbnz_d" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xbnz_h:.*xvsetanyeqz\\.h.*lasx_xbnz_h" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xbnz_v:.*xvseteqz\\.v.*lasx_xbnz_v" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xbnz_w:.*xvsetanyeqz\\.w.*lasx_xbnz_w" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xbz_b:.*xvsetallnez\\.b.*lasx_xbz_b" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xbz_d:.*xvsetallnez\\.d.*lasx_xbz_d" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xbz_h:.*xvsetallnez\\.h.*lasx_xbz_h" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xbz_v:.*xvsetnez\\.v.*lasx_xbz_v" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xbz_w:.*xvsetallnez\\.w.*lasx_xbz_w" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvfcmp_caf_d:.*xvfcmp\\.caf\\.d.*lasx_xvfcmp_caf_d" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvfcmp_caf_s:.*xvfcmp\\.caf\\.s.*lasx_xvfcmp_caf_s" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvfcmp_ceq_d:.*xvfcmp\\.ceq\\.d.*lasx_xvfcmp_ceq_d" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvfcmp_ceq_s:.*xvfcmp\\.ceq\\.s.*lasx_xvfcmp_ceq_s" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvfcmp_cle_d:.*xvfcmp\\.cle\\.d.*lasx_xvfcmp_cle_d" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvfcmp_cle_s:.*xvfcmp\\.cle\\.s.*lasx_xvfcmp_cle_s" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvfcmp_clt_d:.*xvfcmp\\.clt\\.d.*lasx_xvfcmp_clt_d" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvfcmp_clt_s:.*xvfcmp\\.clt\\.s.*lasx_xvfcmp_clt_s" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvfcmp_cne_d:.*xvfcmp\\.cne\\.d.*lasx_xvfcmp_cne_d" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvfcmp_cne_s:.*xvfcmp\\.cne\\.s.*lasx_xvfcmp_cne_s" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvfcmp_cor_d:.*xvfcmp\\.cor\\.d.*lasx_xvfcmp_cor_d" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvfcmp_cor_s:.*xvfcmp\\.cor\\.s.*lasx_xvfcmp_cor_s" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvfcmp_cueq_d:.*xvfcmp\\.cueq\\.d.*lasx_xvfcmp_cueq_d" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvfcmp_cueq_s:.*xvfcmp\\.cueq\\.s.*lasx_xvfcmp_cueq_s" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvfcmp_cule_d:.*xvfcmp\\.cule\\.d.*lasx_xvfcmp_cule_d" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvfcmp_cule_s:.*xvfcmp\\.cule\\.s.*lasx_xvfcmp_cule_s" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvfcmp_cult_d:.*xvfcmp\\.cult\\.d.*lasx_xvfcmp_cult_d" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvfcmp_cult_s:.*xvfcmp\\.cult\\.s.*lasx_xvfcmp_cult_s" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvfcmp_cun_d:.*xvfcmp\\.cun\\.d.*lasx_xvfcmp_cun_d" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvfcmp_cune_d:.*xvfcmp\\.cune\\.d.*lasx_xvfcmp_cune_d" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvfcmp_cune_s:.*xvfcmp\\.cune\\.s.*lasx_xvfcmp_cune_s" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvfcmp_cun_s:.*xvfcmp\\.cun\\.s.*lasx_xvfcmp_cun_s" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvfcmp_saf_d:.*xvfcmp\\.saf\\.d.*lasx_xvfcmp_saf_d" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvfcmp_saf_s:.*xvfcmp\\.saf\\.s.*lasx_xvfcmp_saf_s" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvfcmp_seq_d:.*xvfcmp\\.seq\\.d.*lasx_xvfcmp_seq_d" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvfcmp_seq_s:.*xvfcmp\\.seq\\.s.*lasx_xvfcmp_seq_s" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvfcmp_sle_d:.*xvfcmp\\.sle\\.d.*lasx_xvfcmp_sle_d" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvfcmp_sle_s:.*xvfcmp\\.sle\\.s.*lasx_xvfcmp_sle_s" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvfcmp_slt_d:.*xvfcmp\\.slt\\.d.*lasx_xvfcmp_slt_d" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvfcmp_slt_s:.*xvfcmp\\.slt\\.s.*lasx_xvfcmp_slt_s" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvfcmp_sne_d:.*xvfcmp\\.sne\\.d.*lasx_xvfcmp_sne_d" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvfcmp_sne_s:.*xvfcmp\\.sne\\.s.*lasx_xvfcmp_sne_s" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvfcmp_sor_d:.*xvfcmp\\.sor\\.d.*lasx_xvfcmp_sor_d" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvfcmp_sor_s:.*xvfcmp\\.sor\\.s.*lasx_xvfcmp_sor_s" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvfcmp_sueq_d:.*xvfcmp\\.sueq\\.d.*lasx_xvfcmp_sueq_d" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvfcmp_sueq_s:.*xvfcmp\\.sueq\\.s.*lasx_xvfcmp_sueq_s" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvfcmp_sule_d:.*xvfcmp\\.sule\\.d.*lasx_xvfcmp_sule_d" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvfcmp_sule_s:.*xvfcmp\\.sule\\.s.*lasx_xvfcmp_sule_s" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvfcmp_sult_d:.*xvfcmp\\.sult\\.d.*lasx_xvfcmp_sult_d" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvfcmp_sult_s:.*xvfcmp\\.sult\\.s.*lasx_xvfcmp_sult_s" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvfcmp_sun_d:.*xvfcmp\\.sun\\.d.*lasx_xvfcmp_sun_d" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvfcmp_sune_d:.*xvfcmp\\.sune\\.d.*lasx_xvfcmp_sune_d" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvfcmp_sune_s:.*xvfcmp\\.sune\\.s.*lasx_xvfcmp_sune_s" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvfcmp_sun_s:.*xvfcmp\\.sun\\.s.*lasx_xvfcmp_sun_s" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvpickve_d_f:.*xvpickve\\.d.*lasx_xvpickve_d_f" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvpickve_w_f:.*xvpickve\\.w.*lasx_xvpickve_w_f" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvrepli_b:.*xvrepli\\.b.*lasx_xvrepli_b" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvrepli_d:.*xvrepli\\.d.*lasx_xvrepli_d" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvrepli_h:.*xvrepli\\.h.*lasx_xvrepli_h" 1 } } */
+/* { dg-final { scan-assembler-times "lasx_xvrepli_w:.*xvrepli\\.w.*lasx_xvrepli_w" 1 } } */
+
+typedef signed char v32i8 __attribute__ ((vector_size (32), aligned (32)));
+typedef signed char v32i8_b __attribute__ ((vector_size (32), aligned (1)));
+typedef unsigned char v32u8 __attribute__ ((vector_size (32), aligned (32)));
+typedef unsigned char v32u8_b __attribute__ ((vector_size (32), aligned (1)));
+typedef short v16i16 __attribute__ ((vector_size (32), aligned (32)));
+typedef short v16i16_h __attribute__ ((vector_size (32), aligned (2)));
+typedef unsigned short v16u16 __attribute__ ((vector_size (32), aligned (32)));
+typedef unsigned short v16u16_h
+ __attribute__ ((vector_size (32), aligned (2)));
+typedef int v8i32 __attribute__ ((vector_size (32), aligned (32)));
+typedef int v8i32_w __attribute__ ((vector_size (32), aligned (4)));
+typedef unsigned int v8u32 __attribute__ ((vector_size (32), aligned (32)));
+typedef unsigned int v8u32_w __attribute__ ((vector_size (32), aligned (4)));
+typedef long long v4i64 __attribute__ ((vector_size (32), aligned (32)));
+typedef long long v4i64_d __attribute__ ((vector_size (32), aligned (8)));
+typedef unsigned long long v4u64
+ __attribute__ ((vector_size (32), aligned (32)));
+typedef unsigned long long v4u64_d
+ __attribute__ ((vector_size (32), aligned (8)));
+typedef float v8f32 __attribute__ ((vector_size (32), aligned (32)));
+typedef float v8f32_w __attribute__ ((vector_size (32), aligned (4)));
+typedef double v4f64 __attribute__ ((vector_size (32), aligned (32)));
+typedef double v4f64_d __attribute__ ((vector_size (32), aligned (8)));
+
+typedef double v4f64 __attribute__ ((vector_size (32), aligned (32)));
+typedef double v4f64_d __attribute__ ((vector_size (32), aligned (8)));
+
+typedef float __m256 __attribute__ ((__vector_size__ (32), __may_alias__));
+typedef long long __m256i
+ __attribute__ ((__vector_size__ (32), __may_alias__));
+typedef double __m256d __attribute__ ((__vector_size__ (32), __may_alias__));
+
+/* Unaligned version of the same types. */
+typedef float __m256_u
+ __attribute__ ((__vector_size__ (32), __may_alias__, __aligned__ (1)));
+typedef long long __m256i_u
+ __attribute__ ((__vector_size__ (32), __may_alias__, __aligned__ (1)));
+typedef double __m256d_u
+ __attribute__ ((__vector_size__ (32), __may_alias__, __aligned__ (1)));
+
+v32i8
+__lasx_xvsll_b (v32i8 _1, v32i8 _2)
+{
+ return __builtin_lasx_xvsll_b (_1, _2);
+}
+v16i16
+__lasx_xvsll_h (v16i16 _1, v16i16 _2)
+{
+ return __builtin_lasx_xvsll_h (_1, _2);
+}
+v8i32
+__lasx_xvsll_w (v8i32 _1, v8i32 _2)
+{
+ return __builtin_lasx_xvsll_w (_1, _2);
+}
+v4i64
+__lasx_xvsll_d (v4i64 _1, v4i64 _2)
+{
+ return __builtin_lasx_xvsll_d (_1, _2);
+}
+v32i8
+__lasx_xvslli_b (v32i8 _1)
+{
+ return __builtin_lasx_xvslli_b (_1, 1);
+}
+v16i16
+__lasx_xvslli_h (v16i16 _1)
+{
+ return __builtin_lasx_xvslli_h (_1, 1);
+}
+v8i32
+__lasx_xvslli_w (v8i32 _1)
+{
+ return __builtin_lasx_xvslli_w (_1, 1);
+}
+v4i64
+__lasx_xvslli_d (v4i64 _1)
+{
+ return __builtin_lasx_xvslli_d (_1, 1);
+}
+v32i8
+__lasx_xvsra_b (v32i8 _1, v32i8 _2)
+{
+ return __builtin_lasx_xvsra_b (_1, _2);
+}
+v16i16
+__lasx_xvsra_h (v16i16 _1, v16i16 _2)
+{
+ return __builtin_lasx_xvsra_h (_1, _2);
+}
+v8i32
+__lasx_xvsra_w (v8i32 _1, v8i32 _2)
+{
+ return __builtin_lasx_xvsra_w (_1, _2);
+}
+v4i64
+__lasx_xvsra_d (v4i64 _1, v4i64 _2)
+{
+ return __builtin_lasx_xvsra_d (_1, _2);
+}
+v32i8
+__lasx_xvsrai_b (v32i8 _1)
+{
+ return __builtin_lasx_xvsrai_b (_1, 1);
+}
+v16i16
+__lasx_xvsrai_h (v16i16 _1)
+{
+ return __builtin_lasx_xvsrai_h (_1, 1);
+}
+v8i32
+__lasx_xvsrai_w (v8i32 _1)
+{
+ return __builtin_lasx_xvsrai_w (_1, 1);
+}
+v4i64
+__lasx_xvsrai_d (v4i64 _1)
+{
+ return __builtin_lasx_xvsrai_d (_1, 1);
+}
+v32i8
+__lasx_xvsrar_b (v32i8 _1, v32i8 _2)
+{
+ return __builtin_lasx_xvsrar_b (_1, _2);
+}
+v16i16
+__lasx_xvsrar_h (v16i16 _1, v16i16 _2)
+{
+ return __builtin_lasx_xvsrar_h (_1, _2);
+}
+v8i32
+__lasx_xvsrar_w (v8i32 _1, v8i32 _2)
+{
+ return __builtin_lasx_xvsrar_w (_1, _2);
+}
+v4i64
+__lasx_xvsrar_d (v4i64 _1, v4i64 _2)
+{
+ return __builtin_lasx_xvsrar_d (_1, _2);
+}
+v32i8
+__lasx_xvsrari_b (v32i8 _1)
+{
+ return __builtin_lasx_xvsrari_b (_1, 1);
+}
+v16i16
+__lasx_xvsrari_h (v16i16 _1)
+{
+ return __builtin_lasx_xvsrari_h (_1, 1);
+}
+v8i32
+__lasx_xvsrari_w (v8i32 _1)
+{
+ return __builtin_lasx_xvsrari_w (_1, 1);
+}
+v4i64
+__lasx_xvsrari_d (v4i64 _1)
+{
+ return __builtin_lasx_xvsrari_d (_1, 1);
+}
+v32i8
+__lasx_xvsrl_b (v32i8 _1, v32i8 _2)
+{
+ return __builtin_lasx_xvsrl_b (_1, _2);
+}
+v16i16
+__lasx_xvsrl_h (v16i16 _1, v16i16 _2)
+{
+ return __builtin_lasx_xvsrl_h (_1, _2);
+}
+v8i32
+__lasx_xvsrl_w (v8i32 _1, v8i32 _2)
+{
+ return __builtin_lasx_xvsrl_w (_1, _2);
+}
+v4i64
+__lasx_xvsrl_d (v4i64 _1, v4i64 _2)
+{
+ return __builtin_lasx_xvsrl_d (_1, _2);
+}
+v32i8
+__lasx_xvsrli_b (v32i8 _1)
+{
+ return __builtin_lasx_xvsrli_b (_1, 1);
+}
+v16i16
+__lasx_xvsrli_h (v16i16 _1)
+{
+ return __builtin_lasx_xvsrli_h (_1, 1);
+}
+v8i32
+__lasx_xvsrli_w (v8i32 _1)
+{
+ return __builtin_lasx_xvsrli_w (_1, 1);
+}
+v4i64
+__lasx_xvsrli_d (v4i64 _1)
+{
+ return __builtin_lasx_xvsrli_d (_1, 1);
+}
+v32i8
+__lasx_xvsrlr_b (v32i8 _1, v32i8 _2)
+{
+ return __builtin_lasx_xvsrlr_b (_1, _2);
+}
+v16i16
+__lasx_xvsrlr_h (v16i16 _1, v16i16 _2)
+{
+ return __builtin_lasx_xvsrlr_h (_1, _2);
+}
+v8i32
+__lasx_xvsrlr_w (v8i32 _1, v8i32 _2)
+{
+ return __builtin_lasx_xvsrlr_w (_1, _2);
+}
+v4i64
+__lasx_xvsrlr_d (v4i64 _1, v4i64 _2)
+{
+ return __builtin_lasx_xvsrlr_d (_1, _2);
+}
+v32i8
+__lasx_xvsrlri_b (v32i8 _1)
+{
+ return __builtin_lasx_xvsrlri_b (_1, 1);
+}
+v16i16
+__lasx_xvsrlri_h (v16i16 _1)
+{
+ return __builtin_lasx_xvsrlri_h (_1, 1);
+}
+v8i32
+__lasx_xvsrlri_w (v8i32 _1)
+{
+ return __builtin_lasx_xvsrlri_w (_1, 1);
+}
+v4i64
+__lasx_xvsrlri_d (v4i64 _1)
+{
+ return __builtin_lasx_xvsrlri_d (_1, 1);
+}
+v32u8
+__lasx_xvbitclr_b (v32u8 _1, v32u8 _2)
+{
+ return __builtin_lasx_xvbitclr_b (_1, _2);
+}
+v16u16
+__lasx_xvbitclr_h (v16u16 _1, v16u16 _2)
+{
+ return __builtin_lasx_xvbitclr_h (_1, _2);
+}
+v8u32
+__lasx_xvbitclr_w (v8u32 _1, v8u32 _2)
+{
+ return __builtin_lasx_xvbitclr_w (_1, _2);
+}
+v4u64
+__lasx_xvbitclr_d (v4u64 _1, v4u64 _2)
+{
+ return __builtin_lasx_xvbitclr_d (_1, _2);
+}
+v32u8
+__lasx_xvbitclri_b (v32u8 _1)
+{
+ return __builtin_lasx_xvbitclri_b (_1, 1);
+}
+v16u16
+__lasx_xvbitclri_h (v16u16 _1)
+{
+ return __builtin_lasx_xvbitclri_h (_1, 1);
+}
+v8u32
+__lasx_xvbitclri_w (v8u32 _1)
+{
+ return __builtin_lasx_xvbitclri_w (_1, 1);
+}
+v4u64
+__lasx_xvbitclri_d (v4u64 _1)
+{
+ return __builtin_lasx_xvbitclri_d (_1, 1);
+}
+v32u8
+__lasx_xvbitset_b (v32u8 _1, v32u8 _2)
+{
+ return __builtin_lasx_xvbitset_b (_1, _2);
+}
+v16u16
+__lasx_xvbitset_h (v16u16 _1, v16u16 _2)
+{
+ return __builtin_lasx_xvbitset_h (_1, _2);
+}
+v8u32
+__lasx_xvbitset_w (v8u32 _1, v8u32 _2)
+{
+ return __builtin_lasx_xvbitset_w (_1, _2);
+}
+v4u64
+__lasx_xvbitset_d (v4u64 _1, v4u64 _2)
+{
+ return __builtin_lasx_xvbitset_d (_1, _2);
+}
+v32u8
+__lasx_xvbitseti_b (v32u8 _1)
+{
+ return __builtin_lasx_xvbitseti_b (_1, 1);
+}
+v16u16
+__lasx_xvbitseti_h (v16u16 _1)
+{
+ return __builtin_lasx_xvbitseti_h (_1, 1);
+}
+v8u32
+__lasx_xvbitseti_w (v8u32 _1)
+{
+ return __builtin_lasx_xvbitseti_w (_1, 1);
+}
+v4u64
+__lasx_xvbitseti_d (v4u64 _1)
+{
+ return __builtin_lasx_xvbitseti_d (_1, 1);
+}
+v32u8
+__lasx_xvbitrev_b (v32u8 _1, v32u8 _2)
+{
+ return __builtin_lasx_xvbitrev_b (_1, _2);
+}
+v16u16
+__lasx_xvbitrev_h (v16u16 _1, v16u16 _2)
+{
+ return __builtin_lasx_xvbitrev_h (_1, _2);
+}
+v8u32
+__lasx_xvbitrev_w (v8u32 _1, v8u32 _2)
+{
+ return __builtin_lasx_xvbitrev_w (_1, _2);
+}
+v4u64
+__lasx_xvbitrev_d (v4u64 _1, v4u64 _2)
+{
+ return __builtin_lasx_xvbitrev_d (_1, _2);
+}
+v32u8
+__lasx_xvbitrevi_b (v32u8 _1)
+{
+ return __builtin_lasx_xvbitrevi_b (_1, 1);
+}
+v16u16
+__lasx_xvbitrevi_h (v16u16 _1)
+{
+ return __builtin_lasx_xvbitrevi_h (_1, 1);
+}
+v8u32
+__lasx_xvbitrevi_w (v8u32 _1)
+{
+ return __builtin_lasx_xvbitrevi_w (_1, 1);
+}
+v4u64
+__lasx_xvbitrevi_d (v4u64 _1)
+{
+ return __builtin_lasx_xvbitrevi_d (_1, 1);
+}
+v32i8
+__lasx_xvadd_b (v32i8 _1, v32i8 _2)
+{
+ return __builtin_lasx_xvadd_b (_1, _2);
+}
+v16i16
+__lasx_xvadd_h (v16i16 _1, v16i16 _2)
+{
+ return __builtin_lasx_xvadd_h (_1, _2);
+}
+v8i32
+__lasx_xvadd_w (v8i32 _1, v8i32 _2)
+{
+ return __builtin_lasx_xvadd_w (_1, _2);
+}
+v4i64
+__lasx_xvadd_d (v4i64 _1, v4i64 _2)
+{
+ return __builtin_lasx_xvadd_d (_1, _2);
+}
+v32i8
+__lasx_xvaddi_bu (v32i8 _1)
+{
+ return __builtin_lasx_xvaddi_bu (_1, 1);
+}
+v16i16
+__lasx_xvaddi_hu (v16i16 _1)
+{
+ return __builtin_lasx_xvaddi_hu (_1, 1);
+}
+v8i32
+__lasx_xvaddi_wu (v8i32 _1)
+{
+ return __builtin_lasx_xvaddi_wu (_1, 1);
+}
+v4i64
+__lasx_xvaddi_du (v4i64 _1)
+{
+ return __builtin_lasx_xvaddi_du (_1, 1);
+}
+v32i8
+__lasx_xvsub_b (v32i8 _1, v32i8 _2)
+{
+ return __builtin_lasx_xvsub_b (_1, _2);
+}
+v16i16
+__lasx_xvsub_h (v16i16 _1, v16i16 _2)
+{
+ return __builtin_lasx_xvsub_h (_1, _2);
+}
+v8i32
+__lasx_xvsub_w (v8i32 _1, v8i32 _2)
+{
+ return __builtin_lasx_xvsub_w (_1, _2);
+}
+v4i64
+__lasx_xvsub_d (v4i64 _1, v4i64 _2)
+{
+ return __builtin_lasx_xvsub_d (_1, _2);
+}
+v32i8
+__lasx_xvsubi_bu (v32i8 _1)
+{
+ return __builtin_lasx_xvsubi_bu (_1, 1);
+}
+v16i16
+__lasx_xvsubi_hu (v16i16 _1)
+{
+ return __builtin_lasx_xvsubi_hu (_1, 1);
+}
+v8i32
+__lasx_xvsubi_wu (v8i32 _1)
+{
+ return __builtin_lasx_xvsubi_wu (_1, 1);
+}
+v4i64
+__lasx_xvsubi_du (v4i64 _1)
+{
+ return __builtin_lasx_xvsubi_du (_1, 1);
+}
+v32i8
+__lasx_xvmax_b (v32i8 _1, v32i8 _2)
+{
+ return __builtin_lasx_xvmax_b (_1, _2);
+}
+v16i16
+__lasx_xvmax_h (v16i16 _1, v16i16 _2)
+{
+ return __builtin_lasx_xvmax_h (_1, _2);
+}
+v8i32
+__lasx_xvmax_w (v8i32 _1, v8i32 _2)
+{
+ return __builtin_lasx_xvmax_w (_1, _2);
+}
+v4i64
+__lasx_xvmax_d (v4i64 _1, v4i64 _2)
+{
+ return __builtin_lasx_xvmax_d (_1, _2);
+}
+v32i8
+__lasx_xvmaxi_b (v32i8 _1)
+{
+ return __builtin_lasx_xvmaxi_b (_1, 1);
+}
+v16i16
+__lasx_xvmaxi_h (v16i16 _1)
+{
+ return __builtin_lasx_xvmaxi_h (_1, 1);
+}
+v8i32
+__lasx_xvmaxi_w (v8i32 _1)
+{
+ return __builtin_lasx_xvmaxi_w (_1, 1);
+}
+v4i64
+__lasx_xvmaxi_d (v4i64 _1)
+{
+ return __builtin_lasx_xvmaxi_d (_1, 1);
+}
+v32u8
+__lasx_xvmax_bu (v32u8 _1, v32u8 _2)
+{
+ return __builtin_lasx_xvmax_bu (_1, _2);
+}
+v16u16
+__lasx_xvmax_hu (v16u16 _1, v16u16 _2)
+{
+ return __builtin_lasx_xvmax_hu (_1, _2);
+}
+v8u32
+__lasx_xvmax_wu (v8u32 _1, v8u32 _2)
+{
+ return __builtin_lasx_xvmax_wu (_1, _2);
+}
+v4u64
+__lasx_xvmax_du (v4u64 _1, v4u64 _2)
+{
+ return __builtin_lasx_xvmax_du (_1, _2);
+}
+v32u8
+__lasx_xvmaxi_bu (v32u8 _1)
+{
+ return __builtin_lasx_xvmaxi_bu (_1, 1);
+}
+v16u16
+__lasx_xvmaxi_hu (v16u16 _1)
+{
+ return __builtin_lasx_xvmaxi_hu (_1, 1);
+}
+v8u32
+__lasx_xvmaxi_wu (v8u32 _1)
+{
+ return __builtin_lasx_xvmaxi_wu (_1, 1);
+}
+v4u64
+__lasx_xvmaxi_du (v4u64 _1)
+{
+ return __builtin_lasx_xvmaxi_du (_1, 1);
+}
+v32i8
+__lasx_xvmin_b (v32i8 _1, v32i8 _2)
+{
+ return __builtin_lasx_xvmin_b (_1, _2);
+}
+v16i16
+__lasx_xvmin_h (v16i16 _1, v16i16 _2)
+{
+ return __builtin_lasx_xvmin_h (_1, _2);
+}
+v8i32
+__lasx_xvmin_w (v8i32 _1, v8i32 _2)
+{
+ return __builtin_lasx_xvmin_w (_1, _2);
+}
+v4i64
+__lasx_xvmin_d (v4i64 _1, v4i64 _2)
+{
+ return __builtin_lasx_xvmin_d (_1, _2);
+}
+v32i8
+__lasx_xvmini_b (v32i8 _1)
+{
+ return __builtin_lasx_xvmini_b (_1, 1);
+}
+v16i16
+__lasx_xvmini_h (v16i16 _1)
+{
+ return __builtin_lasx_xvmini_h (_1, 1);
+}
+v8i32
+__lasx_xvmini_w (v8i32 _1)
+{
+ return __builtin_lasx_xvmini_w (_1, 1);
+}
+v4i64
+__lasx_xvmini_d (v4i64 _1)
+{
+ return __builtin_lasx_xvmini_d (_1, 1);
+}
+v32u8
+__lasx_xvmin_bu (v32u8 _1, v32u8 _2)
+{
+ return __builtin_lasx_xvmin_bu (_1, _2);
+}
+v16u16
+__lasx_xvmin_hu (v16u16 _1, v16u16 _2)
+{
+ return __builtin_lasx_xvmin_hu (_1, _2);
+}
+v8u32
+__lasx_xvmin_wu (v8u32 _1, v8u32 _2)
+{
+ return __builtin_lasx_xvmin_wu (_1, _2);
+}
+v4u64
+__lasx_xvmin_du (v4u64 _1, v4u64 _2)
+{
+ return __builtin_lasx_xvmin_du (_1, _2);
+}
+v32u8
+__lasx_xvmini_bu (v32u8 _1)
+{
+ return __builtin_lasx_xvmini_bu (_1, 1);
+}
+v16u16
+__lasx_xvmini_hu (v16u16 _1)
+{
+ return __builtin_lasx_xvmini_hu (_1, 1);
+}
+v8u32
+__lasx_xvmini_wu (v8u32 _1)
+{
+ return __builtin_lasx_xvmini_wu (_1, 1);
+}
+v4u64
+__lasx_xvmini_du (v4u64 _1)
+{
+ return __builtin_lasx_xvmini_du (_1, 1);
+}
+v32i8
+__lasx_xvseq_b (v32i8 _1, v32i8 _2)
+{
+ return __builtin_lasx_xvseq_b (_1, _2);
+}
+v16i16
+__lasx_xvseq_h (v16i16 _1, v16i16 _2)
+{
+ return __builtin_lasx_xvseq_h (_1, _2);
+}
+v8i32
+__lasx_xvseq_w (v8i32 _1, v8i32 _2)
+{
+ return __builtin_lasx_xvseq_w (_1, _2);
+}
+v4i64
+__lasx_xvseq_d (v4i64 _1, v4i64 _2)
+{
+ return __builtin_lasx_xvseq_d (_1, _2);
+}
+v32i8
+__lasx_xvseqi_b (v32i8 _1)
+{
+ return __builtin_lasx_xvseqi_b (_1, 1);
+}
+v16i16
+__lasx_xvseqi_h (v16i16 _1)
+{
+ return __builtin_lasx_xvseqi_h (_1, 1);
+}
+v8i32
+__lasx_xvseqi_w (v8i32 _1)
+{
+ return __builtin_lasx_xvseqi_w (_1, 1);
+}
+v4i64
+__lasx_xvseqi_d (v4i64 _1)
+{
+ return __builtin_lasx_xvseqi_d (_1, 1);
+}
+v32i8
+__lasx_xvslt_b (v32i8 _1, v32i8 _2)
+{
+ return __builtin_lasx_xvslt_b (_1, _2);
+}
+v16i16
+__lasx_xvslt_h (v16i16 _1, v16i16 _2)
+{
+ return __builtin_lasx_xvslt_h (_1, _2);
+}
+v8i32
+__lasx_xvslt_w (v8i32 _1, v8i32 _2)
+{
+ return __builtin_lasx_xvslt_w (_1, _2);
+}
+v4i64
+__lasx_xvslt_d (v4i64 _1, v4i64 _2)
+{
+ return __builtin_lasx_xvslt_d (_1, _2);
+}
+v32i8
+__lasx_xvslti_b (v32i8 _1)
+{
+ return __builtin_lasx_xvslti_b (_1, 1);
+}
+v16i16
+__lasx_xvslti_h (v16i16 _1)
+{
+ return __builtin_lasx_xvslti_h (_1, 1);
+}
+v8i32
+__lasx_xvslti_w (v8i32 _1)
+{
+ return __builtin_lasx_xvslti_w (_1, 1);
+}
+v4i64
+__lasx_xvslti_d (v4i64 _1)
+{
+ return __builtin_lasx_xvslti_d (_1, 1);
+}
+v32i8
+__lasx_xvslt_bu (v32u8 _1, v32u8 _2)
+{
+ return __builtin_lasx_xvslt_bu (_1, _2);
+}
+v16i16
+__lasx_xvslt_hu (v16u16 _1, v16u16 _2)
+{
+ return __builtin_lasx_xvslt_hu (_1, _2);
+}
+v8i32
+__lasx_xvslt_wu (v8u32 _1, v8u32 _2)
+{
+ return __builtin_lasx_xvslt_wu (_1, _2);
+}
+v4i64
+__lasx_xvslt_du (v4u64 _1, v4u64 _2)
+{
+ return __builtin_lasx_xvslt_du (_1, _2);
+}
+v32i8
+__lasx_xvslti_bu (v32u8 _1)
+{
+ return __builtin_lasx_xvslti_bu (_1, 1);
+}
+v16i16
+__lasx_xvslti_hu (v16u16 _1)
+{
+ return __builtin_lasx_xvslti_hu (_1, 1);
+}
+v8i32
+__lasx_xvslti_wu (v8u32 _1)
+{
+ return __builtin_lasx_xvslti_wu (_1, 1);
+}
+v4i64
+__lasx_xvslti_du (v4u64 _1)
+{
+ return __builtin_lasx_xvslti_du (_1, 1);
+}
+v32i8
+__lasx_xvsle_b (v32i8 _1, v32i8 _2)
+{
+ return __builtin_lasx_xvsle_b (_1, _2);
+}
+v16i16
+__lasx_xvsle_h (v16i16 _1, v16i16 _2)
+{
+ return __builtin_lasx_xvsle_h (_1, _2);
+}
+v8i32
+__lasx_xvsle_w (v8i32 _1, v8i32 _2)
+{
+ return __builtin_lasx_xvsle_w (_1, _2);
+}
+v4i64
+__lasx_xvsle_d (v4i64 _1, v4i64 _2)
+{
+ return __builtin_lasx_xvsle_d (_1, _2);
+}
+v32i8
+__lasx_xvslei_b (v32i8 _1)
+{
+ return __builtin_lasx_xvslei_b (_1, 1);
+}
+v16i16
+__lasx_xvslei_h (v16i16 _1)
+{
+ return __builtin_lasx_xvslei_h (_1, 1);
+}
+v8i32
+__lasx_xvslei_w (v8i32 _1)
+{
+ return __builtin_lasx_xvslei_w (_1, 1);
+}
+v4i64
+__lasx_xvslei_d (v4i64 _1)
+{
+ return __builtin_lasx_xvslei_d (_1, 1);
+}
+v32i8
+__lasx_xvsle_bu (v32u8 _1, v32u8 _2)
+{
+ return __builtin_lasx_xvsle_bu (_1, _2);
+}
+v16i16
+__lasx_xvsle_hu (v16u16 _1, v16u16 _2)
+{
+ return __builtin_lasx_xvsle_hu (_1, _2);
+}
+v8i32
+__lasx_xvsle_wu (v8u32 _1, v8u32 _2)
+{
+ return __builtin_lasx_xvsle_wu (_1, _2);
+}
+v4i64
+__lasx_xvsle_du (v4u64 _1, v4u64 _2)
+{
+ return __builtin_lasx_xvsle_du (_1, _2);
+}
+v32i8
+__lasx_xvslei_bu (v32u8 _1)
+{
+ return __builtin_lasx_xvslei_bu (_1, 1);
+}
+v16i16
+__lasx_xvslei_hu (v16u16 _1)
+{
+ return __builtin_lasx_xvslei_hu (_1, 1);
+}
+v8i32
+__lasx_xvslei_wu (v8u32 _1)
+{
+ return __builtin_lasx_xvslei_wu (_1, 1);
+}
+v4i64
+__lasx_xvslei_du (v4u64 _1)
+{
+ return __builtin_lasx_xvslei_du (_1, 1);
+}
+v32i8
+__lasx_xvsat_b (v32i8 _1)
+{
+ return __builtin_lasx_xvsat_b (_1, 1);
+}
+v16i16
+__lasx_xvsat_h (v16i16 _1)
+{
+ return __builtin_lasx_xvsat_h (_1, 1);
+}
+v8i32
+__lasx_xvsat_w (v8i32 _1)
+{
+ return __builtin_lasx_xvsat_w (_1, 1);
+}
+v4i64
+__lasx_xvsat_d (v4i64 _1)
+{
+ return __builtin_lasx_xvsat_d (_1, 1);
+}
+v32u8
+__lasx_xvsat_bu (v32u8 _1)
+{
+ return __builtin_lasx_xvsat_bu (_1, 1);
+}
+v16u16
+__lasx_xvsat_hu (v16u16 _1)
+{
+ return __builtin_lasx_xvsat_hu (_1, 1);
+}
+v8u32
+__lasx_xvsat_wu (v8u32 _1)
+{
+ return __builtin_lasx_xvsat_wu (_1, 1);
+}
+v4u64
+__lasx_xvsat_du (v4u64 _1)
+{
+ return __builtin_lasx_xvsat_du (_1, 1);
+}
+v32i8
+__lasx_xvadda_b (v32i8 _1, v32i8 _2)
+{
+ return __builtin_lasx_xvadda_b (_1, _2);
+}
+v16i16
+__lasx_xvadda_h (v16i16 _1, v16i16 _2)
+{
+ return __builtin_lasx_xvadda_h (_1, _2);
+}
+v8i32
+__lasx_xvadda_w (v8i32 _1, v8i32 _2)
+{
+ return __builtin_lasx_xvadda_w (_1, _2);
+}
+v4i64
+__lasx_xvadda_d (v4i64 _1, v4i64 _2)
+{
+ return __builtin_lasx_xvadda_d (_1, _2);
+}
+v32i8
+__lasx_xvsadd_b (v32i8 _1, v32i8 _2)
+{
+ return __builtin_lasx_xvsadd_b (_1, _2);
+}
+v16i16
+__lasx_xvsadd_h (v16i16 _1, v16i16 _2)
+{
+ return __builtin_lasx_xvsadd_h (_1, _2);
+}
+v8i32
+__lasx_xvsadd_w (v8i32 _1, v8i32 _2)
+{
+ return __builtin_lasx_xvsadd_w (_1, _2);
+}
+v4i64
+__lasx_xvsadd_d (v4i64 _1, v4i64 _2)
+{
+ return __builtin_lasx_xvsadd_d (_1, _2);
+}
+v32u8
+__lasx_xvsadd_bu (v32u8 _1, v32u8 _2)
+{
+ return __builtin_lasx_xvsadd_bu (_1, _2);
+}
+v16u16
+__lasx_xvsadd_hu (v16u16 _1, v16u16 _2)
+{
+ return __builtin_lasx_xvsadd_hu (_1, _2);
+}
+v8u32
+__lasx_xvsadd_wu (v8u32 _1, v8u32 _2)
+{
+ return __builtin_lasx_xvsadd_wu (_1, _2);
+}
+v4u64
+__lasx_xvsadd_du (v4u64 _1, v4u64 _2)
+{
+ return __builtin_lasx_xvsadd_du (_1, _2);
+}
+v32i8
+__lasx_xvavg_b (v32i8 _1, v32i8 _2)
+{
+ return __builtin_lasx_xvavg_b (_1, _2);
+}
+v16i16
+__lasx_xvavg_h (v16i16 _1, v16i16 _2)
+{
+ return __builtin_lasx_xvavg_h (_1, _2);
+}
+v8i32
+__lasx_xvavg_w (v8i32 _1, v8i32 _2)
+{
+ return __builtin_lasx_xvavg_w (_1, _2);
+}
+v4i64
+__lasx_xvavg_d (v4i64 _1, v4i64 _2)
+{
+ return __builtin_lasx_xvavg_d (_1, _2);
+}
+v32u8
+__lasx_xvavg_bu (v32u8 _1, v32u8 _2)
+{
+ return __builtin_lasx_xvavg_bu (_1, _2);
+}
+v16u16
+__lasx_xvavg_hu (v16u16 _1, v16u16 _2)
+{
+ return __builtin_lasx_xvavg_hu (_1, _2);
+}
+v8u32
+__lasx_xvavg_wu (v8u32 _1, v8u32 _2)
+{
+ return __builtin_lasx_xvavg_wu (_1, _2);
+}
+v4u64
+__lasx_xvavg_du (v4u64 _1, v4u64 _2)
+{
+ return __builtin_lasx_xvavg_du (_1, _2);
+}
+v32i8
+__lasx_xvavgr_b (v32i8 _1, v32i8 _2)
+{
+ return __builtin_lasx_xvavgr_b (_1, _2);
+}
+v16i16
+__lasx_xvavgr_h (v16i16 _1, v16i16 _2)
+{
+ return __builtin_lasx_xvavgr_h (_1, _2);
+}
+v8i32
+__lasx_xvavgr_w (v8i32 _1, v8i32 _2)
+{
+ return __builtin_lasx_xvavgr_w (_1, _2);
+}
+v4i64
+__lasx_xvavgr_d (v4i64 _1, v4i64 _2)
+{
+ return __builtin_lasx_xvavgr_d (_1, _2);
+}
+v32u8
+__lasx_xvavgr_bu (v32u8 _1, v32u8 _2)
+{
+ return __builtin_lasx_xvavgr_bu (_1, _2);
+}
+v16u16
+__lasx_xvavgr_hu (v16u16 _1, v16u16 _2)
+{
+ return __builtin_lasx_xvavgr_hu (_1, _2);
+}
+v8u32
+__lasx_xvavgr_wu (v8u32 _1, v8u32 _2)
+{
+ return __builtin_lasx_xvavgr_wu (_1, _2);
+}
+v4u64
+__lasx_xvavgr_du (v4u64 _1, v4u64 _2)
+{
+ return __builtin_lasx_xvavgr_du (_1, _2);
+}
+v32i8
+__lasx_xvssub_b (v32i8 _1, v32i8 _2)
+{
+ return __builtin_lasx_xvssub_b (_1, _2);
+}
+v16i16
+__lasx_xvssub_h (v16i16 _1, v16i16 _2)
+{
+ return __builtin_lasx_xvssub_h (_1, _2);
+}
+v8i32
+__lasx_xvssub_w (v8i32 _1, v8i32 _2)
+{
+ return __builtin_lasx_xvssub_w (_1, _2);
+}
+v4i64
+__lasx_xvssub_d (v4i64 _1, v4i64 _2)
+{
+ return __builtin_lasx_xvssub_d (_1, _2);
+}
+v32u8
+__lasx_xvssub_bu (v32u8 _1, v32u8 _2)
+{
+ return __builtin_lasx_xvssub_bu (_1, _2);
+}
+v16u16
+__lasx_xvssub_hu (v16u16 _1, v16u16 _2)
+{
+ return __builtin_lasx_xvssub_hu (_1, _2);
+}
+v8u32
+__lasx_xvssub_wu (v8u32 _1, v8u32 _2)
+{
+ return __builtin_lasx_xvssub_wu (_1, _2);
+}
+v4u64
+__lasx_xvssub_du (v4u64 _1, v4u64 _2)
+{
+ return __builtin_lasx_xvssub_du (_1, _2);
+}
+v32i8
+__lasx_xvabsd_b (v32i8 _1, v32i8 _2)
+{
+ return __builtin_lasx_xvabsd_b (_1, _2);
+}
+v16i16
+__lasx_xvabsd_h (v16i16 _1, v16i16 _2)
+{
+ return __builtin_lasx_xvabsd_h (_1, _2);
+}
+v8i32
+__lasx_xvabsd_w (v8i32 _1, v8i32 _2)
+{
+ return __builtin_lasx_xvabsd_w (_1, _2);
+}
+v4i64
+__lasx_xvabsd_d (v4i64 _1, v4i64 _2)
+{
+ return __builtin_lasx_xvabsd_d (_1, _2);
+}
+v32u8
+__lasx_xvabsd_bu (v32u8 _1, v32u8 _2)
+{
+ return __builtin_lasx_xvabsd_bu (_1, _2);
+}
+v16u16
+__lasx_xvabsd_hu (v16u16 _1, v16u16 _2)
+{
+ return __builtin_lasx_xvabsd_hu (_1, _2);
+}
+v8u32
+__lasx_xvabsd_wu (v8u32 _1, v8u32 _2)
+{
+ return __builtin_lasx_xvabsd_wu (_1, _2);
+}
+v4u64
+__lasx_xvabsd_du (v4u64 _1, v4u64 _2)
+{
+ return __builtin_lasx_xvabsd_du (_1, _2);
+}
+v32i8
+__lasx_xvmul_b (v32i8 _1, v32i8 _2)
+{
+ return __builtin_lasx_xvmul_b (_1, _2);
+}
+v16i16
+__lasx_xvmul_h (v16i16 _1, v16i16 _2)
+{
+ return __builtin_lasx_xvmul_h (_1, _2);
+}
+v8i32
+__lasx_xvmul_w (v8i32 _1, v8i32 _2)
+{
+ return __builtin_lasx_xvmul_w (_1, _2);
+}
+v4i64
+__lasx_xvmul_d (v4i64 _1, v4i64 _2)
+{
+ return __builtin_lasx_xvmul_d (_1, _2);
+}
+v32i8
+__lasx_xvmadd_b (v32i8 _1, v32i8 _2, v32i8 _3)
+{
+ return __builtin_lasx_xvmadd_b (_1, _2, _3);
+}
+v16i16
+__lasx_xvmadd_h (v16i16 _1, v16i16 _2, v16i16 _3)
+{
+ return __builtin_lasx_xvmadd_h (_1, _2, _3);
+}
+v8i32
+__lasx_xvmadd_w (v8i32 _1, v8i32 _2, v8i32 _3)
+{
+ return __builtin_lasx_xvmadd_w (_1, _2, _3);
+}
+v4i64
+__lasx_xvmadd_d (v4i64 _1, v4i64 _2, v4i64 _3)
+{
+ return __builtin_lasx_xvmadd_d (_1, _2, _3);
+}
+v32i8
+__lasx_xvmsub_b (v32i8 _1, v32i8 _2, v32i8 _3)
+{
+ return __builtin_lasx_xvmsub_b (_1, _2, _3);
+}
+v16i16
+__lasx_xvmsub_h (v16i16 _1, v16i16 _2, v16i16 _3)
+{
+ return __builtin_lasx_xvmsub_h (_1, _2, _3);
+}
+v8i32
+__lasx_xvmsub_w (v8i32 _1, v8i32 _2, v8i32 _3)
+{
+ return __builtin_lasx_xvmsub_w (_1, _2, _3);
+}
+v4i64
+__lasx_xvmsub_d (v4i64 _1, v4i64 _2, v4i64 _3)
+{
+ return __builtin_lasx_xvmsub_d (_1, _2, _3);
+}
+v32i8
+__lasx_xvdiv_b (v32i8 _1, v32i8 _2)
+{
+ return __builtin_lasx_xvdiv_b (_1, _2);
+}
+v16i16
+__lasx_xvdiv_h (v16i16 _1, v16i16 _2)
+{
+ return __builtin_lasx_xvdiv_h (_1, _2);
+}
+v8i32
+__lasx_xvdiv_w (v8i32 _1, v8i32 _2)
+{
+ return __builtin_lasx_xvdiv_w (_1, _2);
+}
+v4i64
+__lasx_xvdiv_d (v4i64 _1, v4i64 _2)
+{
+ return __builtin_lasx_xvdiv_d (_1, _2);
+}
+v32u8
+__lasx_xvdiv_bu (v32u8 _1, v32u8 _2)
+{
+ return __builtin_lasx_xvdiv_bu (_1, _2);
+}
+v16u16
+__lasx_xvdiv_hu (v16u16 _1, v16u16 _2)
+{
+ return __builtin_lasx_xvdiv_hu (_1, _2);
+}
+v8u32
+__lasx_xvdiv_wu (v8u32 _1, v8u32 _2)
+{
+ return __builtin_lasx_xvdiv_wu (_1, _2);
+}
+v4u64
+__lasx_xvdiv_du (v4u64 _1, v4u64 _2)
+{
+ return __builtin_lasx_xvdiv_du (_1, _2);
+}
+v16i16
+__lasx_xvhaddw_h_b (v32i8 _1, v32i8 _2)
+{
+ return __builtin_lasx_xvhaddw_h_b (_1, _2);
+}
+v8i32
+__lasx_xvhaddw_w_h (v16i16 _1, v16i16 _2)
+{
+ return __builtin_lasx_xvhaddw_w_h (_1, _2);
+}
+v4i64
+__lasx_xvhaddw_d_w (v8i32 _1, v8i32 _2)
+{
+ return __builtin_lasx_xvhaddw_d_w (_1, _2);
+}
+v16u16
+__lasx_xvhaddw_hu_bu (v32u8 _1, v32u8 _2)
+{
+ return __builtin_lasx_xvhaddw_hu_bu (_1, _2);
+}
+v8u32
+__lasx_xvhaddw_wu_hu (v16u16 _1, v16u16 _2)
+{
+ return __builtin_lasx_xvhaddw_wu_hu (_1, _2);
+}
+v4u64
+__lasx_xvhaddw_du_wu (v8u32 _1, v8u32 _2)
+{
+ return __builtin_lasx_xvhaddw_du_wu (_1, _2);
+}
+v16i16
+__lasx_xvhsubw_h_b (v32i8 _1, v32i8 _2)
+{
+ return __builtin_lasx_xvhsubw_h_b (_1, _2);
+}
+v8i32
+__lasx_xvhsubw_w_h (v16i16 _1, v16i16 _2)
+{
+ return __builtin_lasx_xvhsubw_w_h (_1, _2);
+}
+v4i64
+__lasx_xvhsubw_d_w (v8i32 _1, v8i32 _2)
+{
+ return __builtin_lasx_xvhsubw_d_w (_1, _2);
+}
+v16i16
+__lasx_xvhsubw_hu_bu (v32u8 _1, v32u8 _2)
+{
+ return __builtin_lasx_xvhsubw_hu_bu (_1, _2);
+}
+v8i32
+__lasx_xvhsubw_wu_hu (v16u16 _1, v16u16 _2)
+{
+ return __builtin_lasx_xvhsubw_wu_hu (_1, _2);
+}
+v4i64
+__lasx_xvhsubw_du_wu (v8u32 _1, v8u32 _2)
+{
+ return __builtin_lasx_xvhsubw_du_wu (_1, _2);
+}
+v32i8
+__lasx_xvmod_b (v32i8 _1, v32i8 _2)
+{
+ return __builtin_lasx_xvmod_b (_1, _2);
+}
+v16i16
+__lasx_xvmod_h (v16i16 _1, v16i16 _2)
+{
+ return __builtin_lasx_xvmod_h (_1, _2);
+}
+v8i32
+__lasx_xvmod_w (v8i32 _1, v8i32 _2)
+{
+ return __builtin_lasx_xvmod_w (_1, _2);
+}
+v4i64
+__lasx_xvmod_d (v4i64 _1, v4i64 _2)
+{
+ return __builtin_lasx_xvmod_d (_1, _2);
+}
+v32u8
+__lasx_xvmod_bu (v32u8 _1, v32u8 _2)
+{
+ return __builtin_lasx_xvmod_bu (_1, _2);
+}
+v16u16
+__lasx_xvmod_hu (v16u16 _1, v16u16 _2)
+{
+ return __builtin_lasx_xvmod_hu (_1, _2);
+}
+v8u32
+__lasx_xvmod_wu (v8u32 _1, v8u32 _2)
+{
+ return __builtin_lasx_xvmod_wu (_1, _2);
+}
+v4u64
+__lasx_xvmod_du (v4u64 _1, v4u64 _2)
+{
+ return __builtin_lasx_xvmod_du (_1, _2);
+}
+v32i8
+__lasx_xvrepl128vei_b (v32i8 _1)
+{
+ return __builtin_lasx_xvrepl128vei_b (_1, 1);
+}
+v16i16
+__lasx_xvrepl128vei_h (v16i16 _1)
+{
+ return __builtin_lasx_xvrepl128vei_h (_1, 1);
+}
+v8i32
+__lasx_xvrepl128vei_w (v8i32 _1)
+{
+ return __builtin_lasx_xvrepl128vei_w (_1, 1);
+}
+v4i64
+__lasx_xvrepl128vei_d (v4i64 _1)
+{
+ return __builtin_lasx_xvrepl128vei_d (_1, 1);
+}
+v32i8
+__lasx_xvpickev_b (v32i8 _1, v32i8 _2)
+{
+ return __builtin_lasx_xvpickev_b (_1, _2);
+}
+v16i16
+__lasx_xvpickev_h (v16i16 _1, v16i16 _2)
+{
+ return __builtin_lasx_xvpickev_h (_1, _2);
+}
+v8i32
+__lasx_xvpickev_w (v8i32 _1, v8i32 _2)
+{
+ return __builtin_lasx_xvpickev_w (_1, _2);
+}
+v4i64
+__lasx_xvpickev_d (v4i64 _1, v4i64 _2)
+{
+ return __builtin_lasx_xvpickev_d (_1, _2);
+}
+v32i8
+__lasx_xvpickod_b (v32i8 _1, v32i8 _2)
+{
+ return __builtin_lasx_xvpickod_b (_1, _2);
+}
+v16i16
+__lasx_xvpickod_h (v16i16 _1, v16i16 _2)
+{
+ return __builtin_lasx_xvpickod_h (_1, _2);
+}
+v8i32
+__lasx_xvpickod_w (v8i32 _1, v8i32 _2)
+{
+ return __builtin_lasx_xvpickod_w (_1, _2);
+}
+v4i64
+__lasx_xvpickod_d (v4i64 _1, v4i64 _2)
+{
+ return __builtin_lasx_xvpickod_d (_1, _2);
+}
+v32i8
+__lasx_xvilvh_b (v32i8 _1, v32i8 _2)
+{
+ return __builtin_lasx_xvilvh_b (_1, _2);
+}
+v16i16
+__lasx_xvilvh_h (v16i16 _1, v16i16 _2)
+{
+ return __builtin_lasx_xvilvh_h (_1, _2);
+}
+v8i32
+__lasx_xvilvh_w (v8i32 _1, v8i32 _2)
+{
+ return __builtin_lasx_xvilvh_w (_1, _2);
+}
+v4i64
+__lasx_xvilvh_d (v4i64 _1, v4i64 _2)
+{
+ return __builtin_lasx_xvilvh_d (_1, _2);
+}
+v32i8
+__lasx_xvilvl_b (v32i8 _1, v32i8 _2)
+{
+ return __builtin_lasx_xvilvl_b (_1, _2);
+}
+v16i16
+__lasx_xvilvl_h (v16i16 _1, v16i16 _2)
+{
+ return __builtin_lasx_xvilvl_h (_1, _2);
+}
+v8i32
+__lasx_xvilvl_w (v8i32 _1, v8i32 _2)
+{
+ return __builtin_lasx_xvilvl_w (_1, _2);
+}
+v4i64
+__lasx_xvilvl_d (v4i64 _1, v4i64 _2)
+{
+ return __builtin_lasx_xvilvl_d (_1, _2);
+}
+v32i8
+__lasx_xvpackev_b (v32i8 _1, v32i8 _2)
+{
+ return __builtin_lasx_xvpackev_b (_1, _2);
+}
+v16i16
+__lasx_xvpackev_h (v16i16 _1, v16i16 _2)
+{
+ return __builtin_lasx_xvpackev_h (_1, _2);
+}
+v8i32
+__lasx_xvpackev_w (v8i32 _1, v8i32 _2)
+{
+ return __builtin_lasx_xvpackev_w (_1, _2);
+}
+v4i64
+__lasx_xvpackev_d (v4i64 _1, v4i64 _2)
+{
+ return __builtin_lasx_xvpackev_d (_1, _2);
+}
+v32i8
+__lasx_xvpackod_b (v32i8 _1, v32i8 _2)
+{
+ return __builtin_lasx_xvpackod_b (_1, _2);
+}
+v16i16
+__lasx_xvpackod_h (v16i16 _1, v16i16 _2)
+{
+ return __builtin_lasx_xvpackod_h (_1, _2);
+}
+v8i32
+__lasx_xvpackod_w (v8i32 _1, v8i32 _2)
+{
+ return __builtin_lasx_xvpackod_w (_1, _2);
+}
+v4i64
+__lasx_xvpackod_d (v4i64 _1, v4i64 _2)
+{
+ return __builtin_lasx_xvpackod_d (_1, _2);
+}
+v32i8
+__lasx_xvshuf_b (v32i8 _1, v32i8 _2, v32i8 _3)
+{
+ return __builtin_lasx_xvshuf_b (_1, _2, _3);
+}
+v16i16
+__lasx_xvshuf_h (v16i16 _1, v16i16 _2, v16i16 _3)
+{
+ return __builtin_lasx_xvshuf_h (_1, _2, _3);
+}
+v8i32
+__lasx_xvshuf_w (v8i32 _1, v8i32 _2, v8i32 _3)
+{
+ return __builtin_lasx_xvshuf_w (_1, _2, _3);
+}
+v4i64
+__lasx_xvshuf_d (v4i64 _1, v4i64 _2, v4i64 _3)
+{
+ return __builtin_lasx_xvshuf_d (_1, _2, _3);
+}
+v32u8
+__lasx_xvand_v (v32u8 _1, v32u8 _2)
+{
+ return __builtin_lasx_xvand_v (_1, _2);
+}
+v32u8
+__lasx_xvandi_b (v32u8 _1)
+{
+ return __builtin_lasx_xvandi_b (_1, 1);
+}
+v32u8
+__lasx_xvor_v (v32u8 _1, v32u8 _2)
+{
+ return __builtin_lasx_xvor_v (_1, _2);
+}
+v32u8
+__lasx_xvori_b (v32u8 _1)
+{
+ return __builtin_lasx_xvori_b (_1, 1);
+}
+v32u8
+__lasx_xvnor_v (v32u8 _1, v32u8 _2)
+{
+ return __builtin_lasx_xvnor_v (_1, _2);
+}
+v32u8
+__lasx_xvnori_b (v32u8 _1)
+{
+ return __builtin_lasx_xvnori_b (_1, 1);
+}
+v32u8
+__lasx_xvxor_v (v32u8 _1, v32u8 _2)
+{
+ return __builtin_lasx_xvxor_v (_1, _2);
+}
+v32u8
+__lasx_xvxori_b (v32u8 _1)
+{
+ return __builtin_lasx_xvxori_b (_1, 1);
+}
+v32u8
+__lasx_xvbitsel_v (v32u8 _1, v32u8 _2, v32u8 _3)
+{
+ return __builtin_lasx_xvbitsel_v (_1, _2, _3);
+}
+v32u8
+__lasx_xvbitseli_b (v32u8 _1, v32u8 _2)
+{
+ return __builtin_lasx_xvbitseli_b (_1, _2, 1);
+}
+v32i8
+__lasx_xvshuf4i_b (v32i8 _1)
+{
+ return __builtin_lasx_xvshuf4i_b (_1, 1);
+}
+v16i16
+__lasx_xvshuf4i_h (v16i16 _1)
+{
+ return __builtin_lasx_xvshuf4i_h (_1, 1);
+}
+v8i32
+__lasx_xvshuf4i_w (v8i32 _1)
+{
+ return __builtin_lasx_xvshuf4i_w (_1, 1);
+}
+v32i8
+__lasx_xvreplgr2vr_b (int _1)
+{
+ return __builtin_lasx_xvreplgr2vr_b (_1);
+}
+v16i16
+__lasx_xvreplgr2vr_h (int _1)
+{
+ return __builtin_lasx_xvreplgr2vr_h (_1);
+}
+v8i32
+__lasx_xvreplgr2vr_w (int _1)
+{
+ return __builtin_lasx_xvreplgr2vr_w (_1);
+}
+v4i64
+__lasx_xvreplgr2vr_d (int _1)
+{
+ return __builtin_lasx_xvreplgr2vr_d (_1);
+}
+v32i8
+__lasx_xvpcnt_b (v32i8 _1)
+{
+ return __builtin_lasx_xvpcnt_b (_1);
+}
+v16i16
+__lasx_xvpcnt_h (v16i16 _1)
+{
+ return __builtin_lasx_xvpcnt_h (_1);
+}
+v8i32
+__lasx_xvpcnt_w (v8i32 _1)
+{
+ return __builtin_lasx_xvpcnt_w (_1);
+}
+v4i64
+__lasx_xvpcnt_d (v4i64 _1)
+{
+ return __builtin_lasx_xvpcnt_d (_1);
+}
+v32i8
+__lasx_xvclo_b (v32i8 _1)
+{
+ return __builtin_lasx_xvclo_b (_1);
+}
+v16i16
+__lasx_xvclo_h (v16i16 _1)
+{
+ return __builtin_lasx_xvclo_h (_1);
+}
+v8i32
+__lasx_xvclo_w (v8i32 _1)
+{
+ return __builtin_lasx_xvclo_w (_1);
+}
+v4i64
+__lasx_xvclo_d (v4i64 _1)
+{
+ return __builtin_lasx_xvclo_d (_1);
+}
+v32i8
+__lasx_xvclz_b (v32i8 _1)
+{
+ return __builtin_lasx_xvclz_b (_1);
+}
+v16i16
+__lasx_xvclz_h (v16i16 _1)
+{
+ return __builtin_lasx_xvclz_h (_1);
+}
+v8i32
+__lasx_xvclz_w (v8i32 _1)
+{
+ return __builtin_lasx_xvclz_w (_1);
+}
+v4i64
+__lasx_xvclz_d (v4i64 _1)
+{
+ return __builtin_lasx_xvclz_d (_1);
+}
+v8f32
+__lasx_xvfadd_s (v8f32 _1, v8f32 _2)
+{
+ return __builtin_lasx_xvfadd_s (_1, _2);
+}
+v4f64
+__lasx_xvfadd_d (v4f64 _1, v4f64 _2)
+{
+ return __builtin_lasx_xvfadd_d (_1, _2);
+}
+v8f32
+__lasx_xvfsub_s (v8f32 _1, v8f32 _2)
+{
+ return __builtin_lasx_xvfsub_s (_1, _2);
+}
+v4f64
+__lasx_xvfsub_d (v4f64 _1, v4f64 _2)
+{
+ return __builtin_lasx_xvfsub_d (_1, _2);
+}
+v8f32
+__lasx_xvfmul_s (v8f32 _1, v8f32 _2)
+{
+ return __builtin_lasx_xvfmul_s (_1, _2);
+}
+v4f64
+__lasx_xvfmul_d (v4f64 _1, v4f64 _2)
+{
+ return __builtin_lasx_xvfmul_d (_1, _2);
+}
+v8f32
+__lasx_xvfdiv_s (v8f32 _1, v8f32 _2)
+{
+ return __builtin_lasx_xvfdiv_s (_1, _2);
+}
+v4f64
+__lasx_xvfdiv_d (v4f64 _1, v4f64 _2)
+{
+ return __builtin_lasx_xvfdiv_d (_1, _2);
+}
+v16i16
+__lasx_xvfcvt_h_s (v8f32 _1, v8f32 _2)
+{
+ return __builtin_lasx_xvfcvt_h_s (_1, _2);
+}
+v8f32
+__lasx_xvfcvt_s_d (v4f64 _1, v4f64 _2)
+{
+ return __builtin_lasx_xvfcvt_s_d (_1, _2);
+}
+v8f32
+__lasx_xvfmin_s (v8f32 _1, v8f32 _2)
+{
+ return __builtin_lasx_xvfmin_s (_1, _2);
+}
+v4f64
+__lasx_xvfmin_d (v4f64 _1, v4f64 _2)
+{
+ return __builtin_lasx_xvfmin_d (_1, _2);
+}
+v8f32
+__lasx_xvfmina_s (v8f32 _1, v8f32 _2)
+{
+ return __builtin_lasx_xvfmina_s (_1, _2);
+}
+v4f64
+__lasx_xvfmina_d (v4f64 _1, v4f64 _2)
+{
+ return __builtin_lasx_xvfmina_d (_1, _2);
+}
+v8f32
+__lasx_xvfmax_s (v8f32 _1, v8f32 _2)
+{
+ return __builtin_lasx_xvfmax_s (_1, _2);
+}
+v4f64
+__lasx_xvfmax_d (v4f64 _1, v4f64 _2)
+{
+ return __builtin_lasx_xvfmax_d (_1, _2);
+}
+v8f32
+__lasx_xvfmaxa_s (v8f32 _1, v8f32 _2)
+{
+ return __builtin_lasx_xvfmaxa_s (_1, _2);
+}
+v4f64
+__lasx_xvfmaxa_d (v4f64 _1, v4f64 _2)
+{
+ return __builtin_lasx_xvfmaxa_d (_1, _2);
+}
+v8i32
+__lasx_xvfclass_s (v8f32 _1)
+{
+ return __builtin_lasx_xvfclass_s (_1);
+}
+v4i64
+__lasx_xvfclass_d (v4f64 _1)
+{
+ return __builtin_lasx_xvfclass_d (_1);
+}
+v8f32
+__lasx_xvfsqrt_s (v8f32 _1)
+{
+ return __builtin_lasx_xvfsqrt_s (_1);
+}
+v4f64
+__lasx_xvfsqrt_d (v4f64 _1)
+{
+ return __builtin_lasx_xvfsqrt_d (_1);
+}
+v8f32
+__lasx_xvfrecip_s (v8f32 _1)
+{
+ return __builtin_lasx_xvfrecip_s (_1);
+}
+v4f64
+__lasx_xvfrecip_d (v4f64 _1)
+{
+ return __builtin_lasx_xvfrecip_d (_1);
+}
+v8f32
+__lasx_xvfrint_s (v8f32 _1)
+{
+ return __builtin_lasx_xvfrint_s (_1);
+}
+v4f64
+__lasx_xvfrint_d (v4f64 _1)
+{
+ return __builtin_lasx_xvfrint_d (_1);
+}
+v8f32
+__lasx_xvfrsqrt_s (v8f32 _1)
+{
+ return __builtin_lasx_xvfrsqrt_s (_1);
+}
+v4f64
+__lasx_xvfrsqrt_d (v4f64 _1)
+{
+ return __builtin_lasx_xvfrsqrt_d (_1);
+}
+v8f32
+__lasx_xvflogb_s (v8f32 _1)
+{
+ return __builtin_lasx_xvflogb_s (_1);
+}
+v4f64
+__lasx_xvflogb_d (v4f64 _1)
+{
+ return __builtin_lasx_xvflogb_d (_1);
+}
+v8f32
+__lasx_xvfcvth_s_h (v16i16 _1)
+{
+ return __builtin_lasx_xvfcvth_s_h (_1);
+}
+v4f64
+__lasx_xvfcvth_d_s (v8f32 _1)
+{
+ return __builtin_lasx_xvfcvth_d_s (_1);
+}
+v8f32
+__lasx_xvfcvtl_s_h (v16i16 _1)
+{
+ return __builtin_lasx_xvfcvtl_s_h (_1);
+}
+v4f64
+__lasx_xvfcvtl_d_s (v8f32 _1)
+{
+ return __builtin_lasx_xvfcvtl_d_s (_1);
+}
+v8i32
+__lasx_xvftint_w_s (v8f32 _1)
+{
+ return __builtin_lasx_xvftint_w_s (_1);
+}
+v4i64
+__lasx_xvftint_l_d (v4f64 _1)
+{
+ return __builtin_lasx_xvftint_l_d (_1);
+}
+v8u32
+__lasx_xvftint_wu_s (v8f32 _1)
+{
+ return __builtin_lasx_xvftint_wu_s (_1);
+}
+v4u64
+__lasx_xvftint_lu_d (v4f64 _1)
+{
+ return __builtin_lasx_xvftint_lu_d (_1);
+}
+v8i32
+__lasx_xvftintrz_w_s (v8f32 _1)
+{
+ return __builtin_lasx_xvftintrz_w_s (_1);
+}
+v4i64
+__lasx_xvftintrz_l_d (v4f64 _1)
+{
+ return __builtin_lasx_xvftintrz_l_d (_1);
+}
+v8u32
+__lasx_xvftintrz_wu_s (v8f32 _1)
+{
+ return __builtin_lasx_xvftintrz_wu_s (_1);
+}
+v4u64
+__lasx_xvftintrz_lu_d (v4f64 _1)
+{
+ return __builtin_lasx_xvftintrz_lu_d (_1);
+}
+v8f32
+__lasx_xvffint_s_w (v8i32 _1)
+{
+ return __builtin_lasx_xvffint_s_w (_1);
+}
+v4f64
+__lasx_xvffint_d_l (v4i64 _1)
+{
+ return __builtin_lasx_xvffint_d_l (_1);
+}
+v8f32
+__lasx_xvffint_s_wu (v8u32 _1)
+{
+ return __builtin_lasx_xvffint_s_wu (_1);
+}
+v4f64
+__lasx_xvffint_d_lu (v4u64 _1)
+{
+ return __builtin_lasx_xvffint_d_lu (_1);
+}
+v32i8
+__lasx_xvreplve_b (v32i8 _1, int _2)
+{
+ return __builtin_lasx_xvreplve_b (_1, _2);
+}
+v16i16
+__lasx_xvreplve_h (v16i16 _1, int _2)
+{
+ return __builtin_lasx_xvreplve_h (_1, _2);
+}
+v8i32
+__lasx_xvreplve_w (v8i32 _1, int _2)
+{
+ return __builtin_lasx_xvreplve_w (_1, _2);
+}
+v4i64
+__lasx_xvreplve_d (v4i64 _1, int _2)
+{
+ return __builtin_lasx_xvreplve_d (_1, _2);
+}
+v8i32
+__lasx_xvpermi_w (v8i32 _1, v8i32 _2)
+{
+ return __builtin_lasx_xvpermi_w (_1, _2, 1);
+}
+v32u8
+__lasx_xvandn_v (v32u8 _1, v32u8 _2)
+{
+ return __builtin_lasx_xvandn_v (_1, _2);
+}
+v32i8
+__lasx_xvneg_b (v32i8 _1)
+{
+ return __builtin_lasx_xvneg_b (_1);
+}
+v16i16
+__lasx_xvneg_h (v16i16 _1)
+{
+ return __builtin_lasx_xvneg_h (_1);
+}
+v8i32
+__lasx_xvneg_w (v8i32 _1)
+{
+ return __builtin_lasx_xvneg_w (_1);
+}
+v4i64
+__lasx_xvneg_d (v4i64 _1)
+{
+ return __builtin_lasx_xvneg_d (_1);
+}
+v32i8
+__lasx_xvmuh_b (v32i8 _1, v32i8 _2)
+{
+ return __builtin_lasx_xvmuh_b (_1, _2);
+}
+v16i16
+__lasx_xvmuh_h (v16i16 _1, v16i16 _2)
+{
+ return __builtin_lasx_xvmuh_h (_1, _2);
+}
+v8i32
+__lasx_xvmuh_w (v8i32 _1, v8i32 _2)
+{
+ return __builtin_lasx_xvmuh_w (_1, _2);
+}
+v4i64
+__lasx_xvmuh_d (v4i64 _1, v4i64 _2)
+{
+ return __builtin_lasx_xvmuh_d (_1, _2);
+}
+v32u8
+__lasx_xvmuh_bu (v32u8 _1, v32u8 _2)
+{
+ return __builtin_lasx_xvmuh_bu (_1, _2);
+}
+v16u16
+__lasx_xvmuh_hu (v16u16 _1, v16u16 _2)
+{
+ return __builtin_lasx_xvmuh_hu (_1, _2);
+}
+v8u32
+__lasx_xvmuh_wu (v8u32 _1, v8u32 _2)
+{
+ return __builtin_lasx_xvmuh_wu (_1, _2);
+}
+v4u64
+__lasx_xvmuh_du (v4u64 _1, v4u64 _2)
+{
+ return __builtin_lasx_xvmuh_du (_1, _2);
+}
+v16i16
+__lasx_xvsllwil_h_b (v32i8 _1)
+{
+ return __builtin_lasx_xvsllwil_h_b (_1, 1);
+}
+v8i32
+__lasx_xvsllwil_w_h (v16i16 _1)
+{
+ return __builtin_lasx_xvsllwil_w_h (_1, 1);
+}
+v4i64
+__lasx_xvsllwil_d_w (v8i32 _1)
+{
+ return __builtin_lasx_xvsllwil_d_w (_1, 1);
+}
+v16u16
+__lasx_xvsllwil_hu_bu (v32u8 _1)
+{
+ return __builtin_lasx_xvsllwil_hu_bu (_1, 1);
+}
+v8u32
+__lasx_xvsllwil_wu_hu (v16u16 _1)
+{
+ return __builtin_lasx_xvsllwil_wu_hu (_1, 1);
+}
+v4u64
+__lasx_xvsllwil_du_wu (v8u32 _1)
+{
+ return __builtin_lasx_xvsllwil_du_wu (_1, 1);
+}
+v32i8
+__lasx_xvsran_b_h (v16i16 _1, v16i16 _2)
+{
+ return __builtin_lasx_xvsran_b_h (_1, _2);
+}
+v16i16
+__lasx_xvsran_h_w (v8i32 _1, v8i32 _2)
+{
+ return __builtin_lasx_xvsran_h_w (_1, _2);
+}
+v8i32
+__lasx_xvsran_w_d (v4i64 _1, v4i64 _2)
+{
+ return __builtin_lasx_xvsran_w_d (_1, _2);
+}
+v32i8
+__lasx_xvssran_b_h (v16i16 _1, v16i16 _2)
+{
+ return __builtin_lasx_xvssran_b_h (_1, _2);
+}
+v16i16
+__lasx_xvssran_h_w (v8i32 _1, v8i32 _2)
+{
+ return __builtin_lasx_xvssran_h_w (_1, _2);
+}
+v8i32
+__lasx_xvssran_w_d (v4i64 _1, v4i64 _2)
+{
+ return __builtin_lasx_xvssran_w_d (_1, _2);
+}
+v32u8
+__lasx_xvssran_bu_h (v16u16 _1, v16u16 _2)
+{
+ return __builtin_lasx_xvssran_bu_h (_1, _2);
+}
+v16u16
+__lasx_xvssran_hu_w (v8u32 _1, v8u32 _2)
+{
+ return __builtin_lasx_xvssran_hu_w (_1, _2);
+}
+v8u32
+__lasx_xvssran_wu_d (v4u64 _1, v4u64 _2)
+{
+ return __builtin_lasx_xvssran_wu_d (_1, _2);
+}
+v32i8
+__lasx_xvsrarn_b_h (v16i16 _1, v16i16 _2)
+{
+ return __builtin_lasx_xvsrarn_b_h (_1, _2);
+}
+v16i16
+__lasx_xvsrarn_h_w (v8i32 _1, v8i32 _2)
+{
+ return __builtin_lasx_xvsrarn_h_w (_1, _2);
+}
+v8i32
+__lasx_xvsrarn_w_d (v4i64 _1, v4i64 _2)
+{
+ return __builtin_lasx_xvsrarn_w_d (_1, _2);
+}
+v32i8
+__lasx_xvssrarn_b_h (v16i16 _1, v16i16 _2)
+{
+ return __builtin_lasx_xvssrarn_b_h (_1, _2);
+}
+v16i16
+__lasx_xvssrarn_h_w (v8i32 _1, v8i32 _2)
+{
+ return __builtin_lasx_xvssrarn_h_w (_1, _2);
+}
+v8i32
+__lasx_xvssrarn_w_d (v4i64 _1, v4i64 _2)
+{
+ return __builtin_lasx_xvssrarn_w_d (_1, _2);
+}
+v32u8
+__lasx_xvssrarn_bu_h (v16u16 _1, v16u16 _2)
+{
+ return __builtin_lasx_xvssrarn_bu_h (_1, _2);
+}
+v16u16
+__lasx_xvssrarn_hu_w (v8u32 _1, v8u32 _2)
+{
+ return __builtin_lasx_xvssrarn_hu_w (_1, _2);
+}
+v8u32
+__lasx_xvssrarn_wu_d (v4u64 _1, v4u64 _2)
+{
+ return __builtin_lasx_xvssrarn_wu_d (_1, _2);
+}
+v32i8
+__lasx_xvsrln_b_h (v16i16 _1, v16i16 _2)
+{
+ return __builtin_lasx_xvsrln_b_h (_1, _2);
+}
+v16i16
+__lasx_xvsrln_h_w (v8i32 _1, v8i32 _2)
+{
+ return __builtin_lasx_xvsrln_h_w (_1, _2);
+}
+v8i32
+__lasx_xvsrln_w_d (v4i64 _1, v4i64 _2)
+{
+ return __builtin_lasx_xvsrln_w_d (_1, _2);
+}
+v32u8
+__lasx_xvssrln_bu_h (v16u16 _1, v16u16 _2)
+{
+ return __builtin_lasx_xvssrln_bu_h (_1, _2);
+}
+v16u16
+__lasx_xvssrln_hu_w (v8u32 _1, v8u32 _2)
+{
+ return __builtin_lasx_xvssrln_hu_w (_1, _2);
+}
+v8u32
+__lasx_xvssrln_wu_d (v4u64 _1, v4u64 _2)
+{
+ return __builtin_lasx_xvssrln_wu_d (_1, _2);
+}
+v32i8
+__lasx_xvsrlrn_b_h (v16i16 _1, v16i16 _2)
+{
+ return __builtin_lasx_xvsrlrn_b_h (_1, _2);
+}
+v16i16
+__lasx_xvsrlrn_h_w (v8i32 _1, v8i32 _2)
+{
+ return __builtin_lasx_xvsrlrn_h_w (_1, _2);
+}
+v8i32
+__lasx_xvsrlrn_w_d (v4i64 _1, v4i64 _2)
+{
+ return __builtin_lasx_xvsrlrn_w_d (_1, _2);
+}
+v32u8
+__lasx_xvssrlrn_bu_h (v16u16 _1, v16u16 _2)
+{
+ return __builtin_lasx_xvssrlrn_bu_h (_1, _2);
+}
+v16u16
+__lasx_xvssrlrn_hu_w (v8u32 _1, v8u32 _2)
+{
+ return __builtin_lasx_xvssrlrn_hu_w (_1, _2);
+}
+v8u32
+__lasx_xvssrlrn_wu_d (v4u64 _1, v4u64 _2)
+{
+ return __builtin_lasx_xvssrlrn_wu_d (_1, _2);
+}
+v32i8
+__lasx_xvfrstpi_b (v32i8 _1, v32i8 _2)
+{
+ return __builtin_lasx_xvfrstpi_b (_1, _2, 1);
+}
+v16i16
+__lasx_xvfrstpi_h (v16i16 _1, v16i16 _2)
+{
+ return __builtin_lasx_xvfrstpi_h (_1, _2, 1);
+}
+v32i8
+__lasx_xvfrstp_b (v32i8 _1, v32i8 _2, v32i8 _3)
+{
+ return __builtin_lasx_xvfrstp_b (_1, _2, _3);
+}
+v16i16
+__lasx_xvfrstp_h (v16i16 _1, v16i16 _2, v16i16 _3)
+{
+ return __builtin_lasx_xvfrstp_h (_1, _2, _3);
+}
+v4i64
+__lasx_xvshuf4i_d (v4i64 _1, v4i64 _2)
+{
+ return __builtin_lasx_xvshuf4i_d (_1, _2, 1);
+}
+v32i8
+__lasx_xvbsrl_v (v32i8 _1)
+{
+ return __builtin_lasx_xvbsrl_v (_1, 1);
+}
+v32i8
+__lasx_xvbsll_v (v32i8 _1)
+{
+ return __builtin_lasx_xvbsll_v (_1, 1);
+}
+v32i8
+__lasx_xvextrins_b (v32i8 _1, v32i8 _2)
+{
+ return __builtin_lasx_xvextrins_b (_1, _2, 1);
+}
+v16i16
+__lasx_xvextrins_h (v16i16 _1, v16i16 _2)
+{
+ return __builtin_lasx_xvextrins_h (_1, _2, 1);
+}
+v8i32
+__lasx_xvextrins_w (v8i32 _1, v8i32 _2)
+{
+ return __builtin_lasx_xvextrins_w (_1, _2, 1);
+}
+v4i64
+__lasx_xvextrins_d (v4i64 _1, v4i64 _2)
+{
+ return __builtin_lasx_xvextrins_d (_1, _2, 1);
+}
+v32i8
+__lasx_xvmskltz_b (v32i8 _1)
+{
+ return __builtin_lasx_xvmskltz_b (_1);
+}
+v16i16
+__lasx_xvmskltz_h (v16i16 _1)
+{
+ return __builtin_lasx_xvmskltz_h (_1);
+}
+v8i32
+__lasx_xvmskltz_w (v8i32 _1)
+{
+ return __builtin_lasx_xvmskltz_w (_1);
+}
+v4i64
+__lasx_xvmskltz_d (v4i64 _1)
+{
+ return __builtin_lasx_xvmskltz_d (_1);
+}
+v32i8
+__lasx_xvsigncov_b (v32i8 _1, v32i8 _2)
+{
+ return __builtin_lasx_xvsigncov_b (_1, _2);
+}
+v16i16
+__lasx_xvsigncov_h (v16i16 _1, v16i16 _2)
+{
+ return __builtin_lasx_xvsigncov_h (_1, _2);
+}
+v8i32
+__lasx_xvsigncov_w (v8i32 _1, v8i32 _2)
+{
+ return __builtin_lasx_xvsigncov_w (_1, _2);
+}
+v4i64
+__lasx_xvsigncov_d (v4i64 _1, v4i64 _2)
+{
+ return __builtin_lasx_xvsigncov_d (_1, _2);
+}
+v8f32
+__lasx_xvfmadd_s (v8f32 _1, v8f32 _2, v8f32 _3)
+{
+ return __builtin_lasx_xvfmadd_s (_1, _2, _3);
+}
+v4f64
+__lasx_xvfmadd_d (v4f64 _1, v4f64 _2, v4f64 _3)
+{
+ return __builtin_lasx_xvfmadd_d (_1, _2, _3);
+}
+v8f32
+__lasx_xvfmsub_s (v8f32 _1, v8f32 _2, v8f32 _3)
+{
+ return __builtin_lasx_xvfmsub_s (_1, _2, _3);
+}
+v4f64
+__lasx_xvfmsub_d (v4f64 _1, v4f64 _2, v4f64 _3)
+{
+ return __builtin_lasx_xvfmsub_d (_1, _2, _3);
+}
+v8f32
+__lasx_xvfnmadd_s (v8f32 _1, v8f32 _2, v8f32 _3)
+{
+ return __builtin_lasx_xvfnmadd_s (_1, _2, _3);
+}
+v4f64
+__lasx_xvfnmadd_d (v4f64 _1, v4f64 _2, v4f64 _3)
+{
+ return __builtin_lasx_xvfnmadd_d (_1, _2, _3);
+}
+v8f32
+__lasx_xvfnmsub_s (v8f32 _1, v8f32 _2, v8f32 _3)
+{
+ return __builtin_lasx_xvfnmsub_s (_1, _2, _3);
+}
+v4f64
+__lasx_xvfnmsub_d (v4f64 _1, v4f64 _2, v4f64 _3)
+{
+ return __builtin_lasx_xvfnmsub_d (_1, _2, _3);
+}
+v8i32
+__lasx_xvftintrne_w_s (v8f32 _1)
+{
+ return __builtin_lasx_xvftintrne_w_s (_1);
+}
+v4i64
+__lasx_xvftintrne_l_d (v4f64 _1)
+{
+ return __builtin_lasx_xvftintrne_l_d (_1);
+}
+v8i32
+__lasx_xvftintrp_w_s (v8f32 _1)
+{
+ return __builtin_lasx_xvftintrp_w_s (_1);
+}
+v4i64
+__lasx_xvftintrp_l_d (v4f64 _1)
+{
+ return __builtin_lasx_xvftintrp_l_d (_1);
+}
+v8i32
+__lasx_xvftintrm_w_s (v8f32 _1)
+{
+ return __builtin_lasx_xvftintrm_w_s (_1);
+}
+v4i64
+__lasx_xvftintrm_l_d (v4f64 _1)
+{
+ return __builtin_lasx_xvftintrm_l_d (_1);
+}
+v8i32
+__lasx_xvftint_w_d (v4f64 _1, v4f64 _2)
+{
+ return __builtin_lasx_xvftint_w_d (_1, _2);
+}
+v8f32
+__lasx_xvffint_s_l (v4i64 _1, v4i64 _2)
+{
+ return __builtin_lasx_xvffint_s_l (_1, _2);
+}
+v8i32
+__lasx_xvftintrz_w_d (v4f64 _1, v4f64 _2)
+{
+ return __builtin_lasx_xvftintrz_w_d (_1, _2);
+}
+v8i32
+__lasx_xvftintrp_w_d (v4f64 _1, v4f64 _2)
+{
+ return __builtin_lasx_xvftintrp_w_d (_1, _2);
+}
+v8i32
+__lasx_xvftintrm_w_d (v4f64 _1, v4f64 _2)
+{
+ return __builtin_lasx_xvftintrm_w_d (_1, _2);
+}
+v8i32
+__lasx_xvftintrne_w_d (v4f64 _1, v4f64 _2)
+{
+ return __builtin_lasx_xvftintrne_w_d (_1, _2);
+}
+v4i64
+__lasx_xvftinth_l_s (v8f32 _1)
+{
+ return __builtin_lasx_xvftinth_l_s (_1);
+}
+v4i64
+__lasx_xvftintl_l_s (v8f32 _1)
+{
+ return __builtin_lasx_xvftintl_l_s (_1);
+}
+v4f64
+__lasx_xvffinth_d_w (v8i32 _1)
+{
+ return __builtin_lasx_xvffinth_d_w (_1);
+}
+v4f64
+__lasx_xvffintl_d_w (v8i32 _1)
+{
+ return __builtin_lasx_xvffintl_d_w (_1);
+}
+v4i64
+__lasx_xvftintrzh_l_s (v8f32 _1)
+{
+ return __builtin_lasx_xvftintrzh_l_s (_1);
+}
+v4i64
+__lasx_xvftintrzl_l_s (v8f32 _1)
+{
+ return __builtin_lasx_xvftintrzl_l_s (_1);
+}
+v4i64
+__lasx_xvftintrph_l_s (v8f32 _1)
+{
+ return __builtin_lasx_xvftintrph_l_s (_1);
+}
+v4i64
+__lasx_xvftintrpl_l_s (v8f32 _1)
+{
+ return __builtin_lasx_xvftintrpl_l_s (_1);
+}
+v4i64
+__lasx_xvftintrmh_l_s (v8f32 _1)
+{
+ return __builtin_lasx_xvftintrmh_l_s (_1);
+}
+v4i64
+__lasx_xvftintrml_l_s (v8f32 _1)
+{
+ return __builtin_lasx_xvftintrml_l_s (_1);
+}
+v4i64
+__lasx_xvftintrneh_l_s (v8f32 _1)
+{
+ return __builtin_lasx_xvftintrneh_l_s (_1);
+}
+v4i64
+__lasx_xvftintrnel_l_s (v8f32 _1)
+{
+ return __builtin_lasx_xvftintrnel_l_s (_1);
+}
+v8f32
+__lasx_xvfrintrne_s (v8f32 _1)
+{
+ return __builtin_lasx_xvfrintrne_s (_1);
+}
+v4f64
+__lasx_xvfrintrne_d (v4f64 _1)
+{
+ return __builtin_lasx_xvfrintrne_d (_1);
+}
+v8f32
+__lasx_xvfrintrz_s (v8f32 _1)
+{
+ return __builtin_lasx_xvfrintrz_s (_1);
+}
+v4f64
+__lasx_xvfrintrz_d (v4f64 _1)
+{
+ return __builtin_lasx_xvfrintrz_d (_1);
+}
+v8f32
+__lasx_xvfrintrp_s (v8f32 _1)
+{
+ return __builtin_lasx_xvfrintrp_s (_1);
+}
+v4f64
+__lasx_xvfrintrp_d (v4f64 _1)
+{
+ return __builtin_lasx_xvfrintrp_d (_1);
+}
+v8f32
+__lasx_xvfrintrm_s (v8f32 _1)
+{
+ return __builtin_lasx_xvfrintrm_s (_1);
+}
+v4f64
+__lasx_xvfrintrm_d (v4f64 _1)
+{
+ return __builtin_lasx_xvfrintrm_d (_1);
+}
+v32i8
+__lasx_xvld (void *_1)
+{
+ return __builtin_lasx_xvld (_1, 1);
+}
+void
+__lasx_xvst (v32i8 _1, void *_2)
+{
+ return __builtin_lasx_xvst (_1, _2, 1);
+}
+void
+__lasx_xvstelm_b (v32i8 _1, void *_2)
+{
+ return __builtin_lasx_xvstelm_b (_1, _2, 1, 1);
+}
+void
+__lasx_xvstelm_h (v16i16 _1, void *_2)
+{
+ return __builtin_lasx_xvstelm_h (_1, _2, 2, 1);
+}
+void
+__lasx_xvstelm_w (v8i32 _1, void *_2)
+{
+ return __builtin_lasx_xvstelm_w (_1, _2, 4, 1);
+}
+void
+__lasx_xvstelm_d (v4i64 _1, void *_2)
+{
+ return __builtin_lasx_xvstelm_d (_1, _2, 8, 1);
+}
+v8i32
+__lasx_xvinsve0_w (v8i32 _1, v8i32 _2)
+{
+ return __builtin_lasx_xvinsve0_w (_1, _2, 1);
+}
+v4i64
+__lasx_xvinsve0_d (v4i64 _1, v4i64 _2)
+{
+ return __builtin_lasx_xvinsve0_d (_1, _2, 1);
+}
+v8i32
+__lasx_xvpickve_w (v8i32 _1)
+{
+ return __builtin_lasx_xvpickve_w (_1, 1);
+}
+v4i64
+__lasx_xvpickve_d (v4i64 _1)
+{
+ return __builtin_lasx_xvpickve_d (_1, 1);
+}
+v32i8
+__lasx_xvssrlrn_b_h (v16i16 _1, v16i16 _2)
+{
+ return __builtin_lasx_xvssrlrn_b_h (_1, _2);
+}
+v16i16
+__lasx_xvssrlrn_h_w (v8i32 _1, v8i32 _2)
+{
+ return __builtin_lasx_xvssrlrn_h_w (_1, _2);
+}
+v8i32
+__lasx_xvssrlrn_w_d (v4i64 _1, v4i64 _2)
+{
+ return __builtin_lasx_xvssrlrn_w_d (_1, _2);
+}
+v32i8
+__lasx_xvssrln_b_h (v16i16 _1, v16i16 _2)
+{
+ return __builtin_lasx_xvssrln_b_h (_1, _2);
+}
+v16i16
+__lasx_xvssrln_h_w (v8i32 _1, v8i32 _2)
+{
+ return __builtin_lasx_xvssrln_h_w (_1, _2);
+}
+v8i32
+__lasx_xvssrln_w_d (v4i64 _1, v4i64 _2)
+{
+ return __builtin_lasx_xvssrln_w_d (_1, _2);
+}
+v32i8
+__lasx_xvorn_v (v32i8 _1, v32i8 _2)
+{
+ return __builtin_lasx_xvorn_v (_1, _2);
+}
+v4i64
+__lasx_xvldi ()
+{
+ return __builtin_lasx_xvldi (1);
+}
+v32i8
+__lasx_xvldx (void *_1)
+{
+ return __builtin_lasx_xvldx (_1, 1);
+}
+void
+__lasx_xvstx (v32i8 _1, void *_2)
+{
+ return __builtin_lasx_xvstx (_1, _2, 1);
+}
+v4u64
+__lasx_xvextl_qu_du (v4u64 _1)
+{
+ return __builtin_lasx_xvextl_qu_du (_1);
+}
+v8i32
+__lasx_xvinsgr2vr_w (v8i32 _1)
+{
+ return __builtin_lasx_xvinsgr2vr_w (_1, 1, 1);
+}
+v4i64
+__lasx_xvinsgr2vr_d (v4i64 _1)
+{
+ return __builtin_lasx_xvinsgr2vr_d (_1, 1, 1);
+}
+v32i8
+__lasx_xvreplve0_b (v32i8 _1)
+{
+ return __builtin_lasx_xvreplve0_b (_1);
+}
+v16i16
+__lasx_xvreplve0_h (v16i16 _1)
+{
+ return __builtin_lasx_xvreplve0_h (_1);
+}
+v8i32
+__lasx_xvreplve0_w (v8i32 _1)
+{
+ return __builtin_lasx_xvreplve0_w (_1);
+}
+v4i64
+__lasx_xvreplve0_d (v4i64 _1)
+{
+ return __builtin_lasx_xvreplve0_d (_1);
+}
+v32i8
+__lasx_xvreplve0_q (v32i8 _1)
+{
+ return __builtin_lasx_xvreplve0_q (_1);
+}
+v16i16
+__lasx_vext2xv_h_b (v32i8 _1)
+{
+ return __builtin_lasx_vext2xv_h_b (_1);
+}
+v8i32
+__lasx_vext2xv_w_h (v16i16 _1)
+{
+ return __builtin_lasx_vext2xv_w_h (_1);
+}
+v4i64
+__lasx_vext2xv_d_w (v8i32 _1)
+{
+ return __builtin_lasx_vext2xv_d_w (_1);
+}
+v8i32
+__lasx_vext2xv_w_b (v32i8 _1)
+{
+ return __builtin_lasx_vext2xv_w_b (_1);
+}
+v4i64
+__lasx_vext2xv_d_h (v16i16 _1)
+{
+ return __builtin_lasx_vext2xv_d_h (_1);
+}
+v4i64
+__lasx_vext2xv_d_b (v32i8 _1)
+{
+ return __builtin_lasx_vext2xv_d_b (_1);
+}
+v16i16
+__lasx_vext2xv_hu_bu (v32i8 _1)
+{
+ return __builtin_lasx_vext2xv_hu_bu (_1);
+}
+v8i32
+__lasx_vext2xv_wu_hu (v16i16 _1)
+{
+ return __builtin_lasx_vext2xv_wu_hu (_1);
+}
+v4i64
+__lasx_vext2xv_du_wu (v8i32 _1)
+{
+ return __builtin_lasx_vext2xv_du_wu (_1);
+}
+v8i32
+__lasx_vext2xv_wu_bu (v32i8 _1)
+{
+ return __builtin_lasx_vext2xv_wu_bu (_1);
+}
+v4i64
+__lasx_vext2xv_du_hu (v16i16 _1)
+{
+ return __builtin_lasx_vext2xv_du_hu (_1);
+}
+v4i64
+__lasx_vext2xv_du_bu (v32i8 _1)
+{
+ return __builtin_lasx_vext2xv_du_bu (_1);
+}
+v32i8
+__lasx_xvpermi_q (v32i8 _1, v32i8 _2)
+{
+ return __builtin_lasx_xvpermi_q (_1, _2, 1);
+}
+v4i64
+__lasx_xvpermi_d (v4i64 _1)
+{
+ return __builtin_lasx_xvpermi_d (_1, 1);
+}
+v8i32
+__lasx_xvperm_w (v8i32 _1, v8i32 _2)
+{
+ return __builtin_lasx_xvperm_w (_1, _2);
+}
+v32i8
+__lasx_xvldrepl_b (void *_1)
+{
+ return __builtin_lasx_xvldrepl_b (_1, 1);
+}
+v16i16
+__lasx_xvldrepl_h (void *_1)
+{
+ return __builtin_lasx_xvldrepl_h (_1, 2);
+}
+v8i32
+__lasx_xvldrepl_w (void *_1)
+{
+ return __builtin_lasx_xvldrepl_w (_1, 4);
+}
+v4i64
+__lasx_xvldrepl_d (void *_1)
+{
+ return __builtin_lasx_xvldrepl_d (_1, 8);
+}
+int
+__lasx_xvpickve2gr_w (v8i32 _1)
+{
+ return __builtin_lasx_xvpickve2gr_w (_1, 1);
+}
+unsigned int
+__lasx_xvpickve2gr_wu (v8i32 _1)
+{
+ return __builtin_lasx_xvpickve2gr_wu (_1, 1);
+}
+long
+__lasx_xvpickve2gr_d (v4i64 _1)
+{
+ return __builtin_lasx_xvpickve2gr_d (_1, 1);
+}
+unsigned long int
+__lasx_xvpickve2gr_du (v4i64 _1)
+{
+ return __builtin_lasx_xvpickve2gr_du (_1, 1);
+}
+v4i64
+__lasx_xvaddwev_q_d (v4i64 _1, v4i64 _2)
+{
+ return __builtin_lasx_xvaddwev_q_d (_1, _2);
+}
+v4i64
+__lasx_xvaddwev_d_w (v8i32 _1, v8i32 _2)
+{
+ return __builtin_lasx_xvaddwev_d_w (_1, _2);
+}
+v8i32
+__lasx_xvaddwev_w_h (v16i16 _1, v16i16 _2)
+{
+ return __builtin_lasx_xvaddwev_w_h (_1, _2);
+}
+v16i16
+__lasx_xvaddwev_h_b (v32i8 _1, v32i8 _2)
+{
+ return __builtin_lasx_xvaddwev_h_b (_1, _2);
+}
+v4i64
+__lasx_xvaddwev_q_du (v4u64 _1, v4u64 _2)
+{
+ return __builtin_lasx_xvaddwev_q_du (_1, _2);
+}
+v4i64
+__lasx_xvaddwev_d_wu (v8u32 _1, v8u32 _2)
+{
+ return __builtin_lasx_xvaddwev_d_wu (_1, _2);
+}
+v8i32
+__lasx_xvaddwev_w_hu (v16u16 _1, v16u16 _2)
+{
+ return __builtin_lasx_xvaddwev_w_hu (_1, _2);
+}
+v16i16
+__lasx_xvaddwev_h_bu (v32u8 _1, v32u8 _2)
+{
+ return __builtin_lasx_xvaddwev_h_bu (_1, _2);
+}
+v4i64
+__lasx_xvsubwev_q_d (v4i64 _1, v4i64 _2)
+{
+ return __builtin_lasx_xvsubwev_q_d (_1, _2);
+}
+v4i64
+__lasx_xvsubwev_d_w (v8i32 _1, v8i32 _2)
+{
+ return __builtin_lasx_xvsubwev_d_w (_1, _2);
+}
+v8i32
+__lasx_xvsubwev_w_h (v16i16 _1, v16i16 _2)
+{
+ return __builtin_lasx_xvsubwev_w_h (_1, _2);
+}
+v16i16
+__lasx_xvsubwev_h_b (v32i8 _1, v32i8 _2)
+{
+ return __builtin_lasx_xvsubwev_h_b (_1, _2);
+}
+v4i64
+__lasx_xvsubwev_q_du (v4u64 _1, v4u64 _2)
+{
+ return __builtin_lasx_xvsubwev_q_du (_1, _2);
+}
+v4i64
+__lasx_xvsubwev_d_wu (v8u32 _1, v8u32 _2)
+{
+ return __builtin_lasx_xvsubwev_d_wu (_1, _2);
+}
+v8i32
+__lasx_xvsubwev_w_hu (v16u16 _1, v16u16 _2)
+{
+ return __builtin_lasx_xvsubwev_w_hu (_1, _2);
+}
+v16i16
+__lasx_xvsubwev_h_bu (v32u8 _1, v32u8 _2)
+{
+ return __builtin_lasx_xvsubwev_h_bu (_1, _2);
+}
+v4i64
+__lasx_xvmulwev_q_d (v4i64 _1, v4i64 _2)
+{
+ return __builtin_lasx_xvmulwev_q_d (_1, _2);
+}
+v4i64
+__lasx_xvmulwev_d_w (v8i32 _1, v8i32 _2)
+{
+ return __builtin_lasx_xvmulwev_d_w (_1, _2);
+}
+v8i32
+__lasx_xvmulwev_w_h (v16i16 _1, v16i16 _2)
+{
+ return __builtin_lasx_xvmulwev_w_h (_1, _2);
+}
+v16i16
+__lasx_xvmulwev_h_b (v32i8 _1, v32i8 _2)
+{
+ return __builtin_lasx_xvmulwev_h_b (_1, _2);
+}
+v4i64
+__lasx_xvmulwev_q_du (v4u64 _1, v4u64 _2)
+{
+ return __builtin_lasx_xvmulwev_q_du (_1, _2);
+}
+v4i64
+__lasx_xvmulwev_d_wu (v8u32 _1, v8u32 _2)
+{
+ return __builtin_lasx_xvmulwev_d_wu (_1, _2);
+}
+v8i32
+__lasx_xvmulwev_w_hu (v16u16 _1, v16u16 _2)
+{
+ return __builtin_lasx_xvmulwev_w_hu (_1, _2);
+}
+v16i16
+__lasx_xvmulwev_h_bu (v32u8 _1, v32u8 _2)
+{
+ return __builtin_lasx_xvmulwev_h_bu (_1, _2);
+}
+v4i64
+__lasx_xvaddwod_q_d (v4i64 _1, v4i64 _2)
+{
+ return __builtin_lasx_xvaddwod_q_d (_1, _2);
+}
+v4i64
+__lasx_xvaddwod_d_w (v8i32 _1, v8i32 _2)
+{
+ return __builtin_lasx_xvaddwod_d_w (_1, _2);
+}
+v8i32
+__lasx_xvaddwod_w_h (v16i16 _1, v16i16 _2)
+{
+ return __builtin_lasx_xvaddwod_w_h (_1, _2);
+}
+v16i16
+__lasx_xvaddwod_h_b (v32i8 _1, v32i8 _2)
+{
+ return __builtin_lasx_xvaddwod_h_b (_1, _2);
+}
+v4i64
+__lasx_xvaddwod_q_du (v4u64 _1, v4u64 _2)
+{
+ return __builtin_lasx_xvaddwod_q_du (_1, _2);
+}
+v4i64
+__lasx_xvaddwod_d_wu (v8u32 _1, v8u32 _2)
+{
+ return __builtin_lasx_xvaddwod_d_wu (_1, _2);
+}
+v8i32
+__lasx_xvaddwod_w_hu (v16u16 _1, v16u16 _2)
+{
+ return __builtin_lasx_xvaddwod_w_hu (_1, _2);
+}
+v16i16
+__lasx_xvaddwod_h_bu (v32u8 _1, v32u8 _2)
+{
+ return __builtin_lasx_xvaddwod_h_bu (_1, _2);
+}
+v4i64
+__lasx_xvsubwod_q_d (v4i64 _1, v4i64 _2)
+{
+ return __builtin_lasx_xvsubwod_q_d (_1, _2);
+}
+v4i64
+__lasx_xvsubwod_d_w (v8i32 _1, v8i32 _2)
+{
+ return __builtin_lasx_xvsubwod_d_w (_1, _2);
+}
+v8i32
+__lasx_xvsubwod_w_h (v16i16 _1, v16i16 _2)
+{
+ return __builtin_lasx_xvsubwod_w_h (_1, _2);
+}
+v16i16
+__lasx_xvsubwod_h_b (v32i8 _1, v32i8 _2)
+{
+ return __builtin_lasx_xvsubwod_h_b (_1, _2);
+}
+v4i64
+__lasx_xvsubwod_q_du (v4u64 _1, v4u64 _2)
+{
+ return __builtin_lasx_xvsubwod_q_du (_1, _2);
+}
+v4i64
+__lasx_xvsubwod_d_wu (v8u32 _1, v8u32 _2)
+{
+ return __builtin_lasx_xvsubwod_d_wu (_1, _2);
+}
+v8i32
+__lasx_xvsubwod_w_hu (v16u16 _1, v16u16 _2)
+{
+ return __builtin_lasx_xvsubwod_w_hu (_1, _2);
+}
+v16i16
+__lasx_xvsubwod_h_bu (v32u8 _1, v32u8 _2)
+{
+ return __builtin_lasx_xvsubwod_h_bu (_1, _2);
+}
+v4i64
+__lasx_xvmulwod_q_d (v4i64 _1, v4i64 _2)
+{
+ return __builtin_lasx_xvmulwod_q_d (_1, _2);
+}
+v4i64
+__lasx_xvmulwod_d_w (v8i32 _1, v8i32 _2)
+{
+ return __builtin_lasx_xvmulwod_d_w (_1, _2);
+}
+v8i32
+__lasx_xvmulwod_w_h (v16i16 _1, v16i16 _2)
+{
+ return __builtin_lasx_xvmulwod_w_h (_1, _2);
+}
+v16i16
+__lasx_xvmulwod_h_b (v32i8 _1, v32i8 _2)
+{
+ return __builtin_lasx_xvmulwod_h_b (_1, _2);
+}
+v4i64
+__lasx_xvmulwod_q_du (v4u64 _1, v4u64 _2)
+{
+ return __builtin_lasx_xvmulwod_q_du (_1, _2);
+}
+v4i64
+__lasx_xvmulwod_d_wu (v8u32 _1, v8u32 _2)
+{
+ return __builtin_lasx_xvmulwod_d_wu (_1, _2);
+}
+v8i32
+__lasx_xvmulwod_w_hu (v16u16 _1, v16u16 _2)
+{
+ return __builtin_lasx_xvmulwod_w_hu (_1, _2);
+}
+v16i16
+__lasx_xvmulwod_h_bu (v32u8 _1, v32u8 _2)
+{
+ return __builtin_lasx_xvmulwod_h_bu (_1, _2);
+}
+v4i64
+__lasx_xvaddwev_d_wu_w (v8u32 _1, v8i32 _2)
+{
+ return __builtin_lasx_xvaddwev_d_wu_w (_1, _2);
+}
+v8i32
+__lasx_xvaddwev_w_hu_h (v16u16 _1, v16i16 _2)
+{
+ return __builtin_lasx_xvaddwev_w_hu_h (_1, _2);
+}
+v16i16
+__lasx_xvaddwev_h_bu_b (v32u8 _1, v32i8 _2)
+{
+ return __builtin_lasx_xvaddwev_h_bu_b (_1, _2);
+}
+v4i64
+__lasx_xvmulwev_d_wu_w (v8u32 _1, v8i32 _2)
+{
+ return __builtin_lasx_xvmulwev_d_wu_w (_1, _2);
+}
+v8i32
+__lasx_xvmulwev_w_hu_h (v16u16 _1, v16i16 _2)
+{
+ return __builtin_lasx_xvmulwev_w_hu_h (_1, _2);
+}
+v16i16
+__lasx_xvmulwev_h_bu_b (v32u8 _1, v32i8 _2)
+{
+ return __builtin_lasx_xvmulwev_h_bu_b (_1, _2);
+}
+v4i64
+__lasx_xvaddwod_d_wu_w (v8u32 _1, v8i32 _2)
+{
+ return __builtin_lasx_xvaddwod_d_wu_w (_1, _2);
+}
+v8i32
+__lasx_xvaddwod_w_hu_h (v16u16 _1, v16i16 _2)
+{
+ return __builtin_lasx_xvaddwod_w_hu_h (_1, _2);
+}
+v16i16
+__lasx_xvaddwod_h_bu_b (v32u8 _1, v32i8 _2)
+{
+ return __builtin_lasx_xvaddwod_h_bu_b (_1, _2);
+}
+v4i64
+__lasx_xvmulwod_d_wu_w (v8u32 _1, v8i32 _2)
+{
+ return __builtin_lasx_xvmulwod_d_wu_w (_1, _2);
+}
+v8i32
+__lasx_xvmulwod_w_hu_h (v16u16 _1, v16i16 _2)
+{
+ return __builtin_lasx_xvmulwod_w_hu_h (_1, _2);
+}
+v16i16
+__lasx_xvmulwod_h_bu_b (v32u8 _1, v32i8 _2)
+{
+ return __builtin_lasx_xvmulwod_h_bu_b (_1, _2);
+}
+v4i64
+__lasx_xvhaddw_q_d (v4i64 _1, v4i64 _2)
+{
+ return __builtin_lasx_xvhaddw_q_d (_1, _2);
+}
+v4u64
+__lasx_xvhaddw_qu_du (v4u64 _1, v4u64 _2)
+{
+ return __builtin_lasx_xvhaddw_qu_du (_1, _2);
+}
+v4i64
+__lasx_xvhsubw_q_d (v4i64 _1, v4i64 _2)
+{
+ return __builtin_lasx_xvhsubw_q_d (_1, _2);
+}
+v4u64
+__lasx_xvhsubw_qu_du (v4u64 _1, v4u64 _2)
+{
+ return __builtin_lasx_xvhsubw_qu_du (_1, _2);
+}
+v4i64
+__lasx_xvmaddwev_q_d (v4i64 _1, v4i64 _2, v4i64 _3)
+{
+ return __builtin_lasx_xvmaddwev_q_d (_1, _2, _3);
+}
+v4i64
+__lasx_xvmaddwev_d_w (v4i64 _1, v8i32 _2, v8i32 _3)
+{
+ return __builtin_lasx_xvmaddwev_d_w (_1, _2, _3);
+}
+v8i32
+__lasx_xvmaddwev_w_h (v8i32 _1, v16i16 _2, v16i16 _3)
+{
+ return __builtin_lasx_xvmaddwev_w_h (_1, _2, _3);
+}
+v16i16
+__lasx_xvmaddwev_h_b (v16i16 _1, v32i8 _2, v32i8 _3)
+{
+ return __builtin_lasx_xvmaddwev_h_b (_1, _2, _3);
+}
+v4u64
+__lasx_xvmaddwev_q_du (v4u64 _1, v4u64 _2, v4u64 _3)
+{
+ return __builtin_lasx_xvmaddwev_q_du (_1, _2, _3);
+}
+v4u64
+__lasx_xvmaddwev_d_wu (v4u64 _1, v8u32 _2, v8u32 _3)
+{
+ return __builtin_lasx_xvmaddwev_d_wu (_1, _2, _3);
+}
+v8u32
+__lasx_xvmaddwev_w_hu (v8u32 _1, v16u16 _2, v16u16 _3)
+{
+ return __builtin_lasx_xvmaddwev_w_hu (_1, _2, _3);
+}
+v16u16
+__lasx_xvmaddwev_h_bu (v16u16 _1, v32u8 _2, v32u8 _3)
+{
+ return __builtin_lasx_xvmaddwev_h_bu (_1, _2, _3);
+}
+v4i64
+__lasx_xvmaddwod_q_d (v4i64 _1, v4i64 _2, v4i64 _3)
+{
+ return __builtin_lasx_xvmaddwod_q_d (_1, _2, _3);
+}
+v4i64
+__lasx_xvmaddwod_d_w (v4i64 _1, v8i32 _2, v8i32 _3)
+{
+ return __builtin_lasx_xvmaddwod_d_w (_1, _2, _3);
+}
+v8i32
+__lasx_xvmaddwod_w_h (v8i32 _1, v16i16 _2, v16i16 _3)
+{
+ return __builtin_lasx_xvmaddwod_w_h (_1, _2, _3);
+}
+v16i16
+__lasx_xvmaddwod_h_b (v16i16 _1, v32i8 _2, v32i8 _3)
+{
+ return __builtin_lasx_xvmaddwod_h_b (_1, _2, _3);
+}
+v4u64
+__lasx_xvmaddwod_q_du (v4u64 _1, v4u64 _2, v4u64 _3)
+{
+ return __builtin_lasx_xvmaddwod_q_du (_1, _2, _3);
+}
+v4u64
+__lasx_xvmaddwod_d_wu (v4u64 _1, v8u32 _2, v8u32 _3)
+{
+ return __builtin_lasx_xvmaddwod_d_wu (_1, _2, _3);
+}
+v8u32
+__lasx_xvmaddwod_w_hu (v8u32 _1, v16u16 _2, v16u16 _3)
+{
+ return __builtin_lasx_xvmaddwod_w_hu (_1, _2, _3);
+}
+v16u16
+__lasx_xvmaddwod_h_bu (v16u16 _1, v32u8 _2, v32u8 _3)
+{
+ return __builtin_lasx_xvmaddwod_h_bu (_1, _2, _3);
+}
+v4i64
+__lasx_xvmaddwev_q_du_d (v4i64 _1, v4u64 _2, v4i64 _3)
+{
+ return __builtin_lasx_xvmaddwev_q_du_d (_1, _2, _3);
+}
+v4i64
+__lasx_xvmaddwev_d_wu_w (v4i64 _1, v8u32 _2, v8i32 _3)
+{
+ return __builtin_lasx_xvmaddwev_d_wu_w (_1, _2, _3);
+}
+v8i32
+__lasx_xvmaddwev_w_hu_h (v8i32 _1, v16u16 _2, v16i16 _3)
+{
+ return __builtin_lasx_xvmaddwev_w_hu_h (_1, _2, _3);
+}
+v16i16
+__lasx_xvmaddwev_h_bu_b (v16i16 _1, v32u8 _2, v32i8 _3)
+{
+ return __builtin_lasx_xvmaddwev_h_bu_b (_1, _2, _3);
+}
+v4i64
+__lasx_xvmaddwod_q_du_d (v4i64 _1, v4u64 _2, v4i64 _3)
+{
+ return __builtin_lasx_xvmaddwod_q_du_d (_1, _2, _3);
+}
+v4i64
+__lasx_xvmaddwod_d_wu_w (v4i64 _1, v8u32 _2, v8i32 _3)
+{
+ return __builtin_lasx_xvmaddwod_d_wu_w (_1, _2, _3);
+}
+v8i32
+__lasx_xvmaddwod_w_hu_h (v8i32 _1, v16u16 _2, v16i16 _3)
+{
+ return __builtin_lasx_xvmaddwod_w_hu_h (_1, _2, _3);
+}
+v16i16
+__lasx_xvmaddwod_h_bu_b (v16i16 _1, v32u8 _2, v32i8 _3)
+{
+ return __builtin_lasx_xvmaddwod_h_bu_b (_1, _2, _3);
+}
+v32i8
+__lasx_xvrotr_b (v32i8 _1, v32i8 _2)
+{
+ return __builtin_lasx_xvrotr_b (_1, _2);
+}
+v16i16
+__lasx_xvrotr_h (v16i16 _1, v16i16 _2)
+{
+ return __builtin_lasx_xvrotr_h (_1, _2);
+}
+v8i32
+__lasx_xvrotr_w (v8i32 _1, v8i32 _2)
+{
+ return __builtin_lasx_xvrotr_w (_1, _2);
+}
+v4i64
+__lasx_xvrotr_d (v4i64 _1, v4i64 _2)
+{
+ return __builtin_lasx_xvrotr_d (_1, _2);
+}
+v4i64
+__lasx_xvadd_q (v4i64 _1, v4i64 _2)
+{
+ return __builtin_lasx_xvadd_q (_1, _2);
+}
+v4i64
+__lasx_xvsub_q (v4i64 _1, v4i64 _2)
+{
+ return __builtin_lasx_xvsub_q (_1, _2);
+}
+v4i64
+__lasx_xvaddwev_q_du_d (v4u64 _1, v4i64 _2)
+{
+ return __builtin_lasx_xvaddwev_q_du_d (_1, _2);
+}
+v4i64
+__lasx_xvaddwod_q_du_d (v4u64 _1, v4i64 _2)
+{
+ return __builtin_lasx_xvaddwod_q_du_d (_1, _2);
+}
+v4i64
+__lasx_xvmulwev_q_du_d (v4u64 _1, v4i64 _2)
+{
+ return __builtin_lasx_xvmulwev_q_du_d (_1, _2);
+}
+v4i64
+__lasx_xvmulwod_q_du_d (v4u64 _1, v4i64 _2)
+{
+ return __builtin_lasx_xvmulwod_q_du_d (_1, _2);
+}
+v32i8
+__lasx_xvmskgez_b (v32i8 _1)
+{
+ return __builtin_lasx_xvmskgez_b (_1);
+}
+v32i8
+__lasx_xvmsknz_b (v32i8 _1)
+{
+ return __builtin_lasx_xvmsknz_b (_1);
+}
+v16i16
+__lasx_xvexth_h_b (v32i8 _1)
+{
+ return __builtin_lasx_xvexth_h_b (_1);
+}
+v8i32
+__lasx_xvexth_w_h (v16i16 _1)
+{
+ return __builtin_lasx_xvexth_w_h (_1);
+}
+v4i64
+__lasx_xvexth_d_w (v8i32 _1)
+{
+ return __builtin_lasx_xvexth_d_w (_1);
+}
+v4i64
+__lasx_xvexth_q_d (v4i64 _1)
+{
+ return __builtin_lasx_xvexth_q_d (_1);
+}
+v16u16
+__lasx_xvexth_hu_bu (v32u8 _1)
+{
+ return __builtin_lasx_xvexth_hu_bu (_1);
+}
+v8u32
+__lasx_xvexth_wu_hu (v16u16 _1)
+{
+ return __builtin_lasx_xvexth_wu_hu (_1);
+}
+v4u64
+__lasx_xvexth_du_wu (v8u32 _1)
+{
+ return __builtin_lasx_xvexth_du_wu (_1);
+}
+v4u64
+__lasx_xvexth_qu_du (v4u64 _1)
+{
+ return __builtin_lasx_xvexth_qu_du (_1);
+}
+v32i8
+__lasx_xvrotri_b (v32i8 _1)
+{
+ return __builtin_lasx_xvrotri_b (_1, 1);
+}
+v16i16
+__lasx_xvrotri_h (v16i16 _1)
+{
+ return __builtin_lasx_xvrotri_h (_1, 1);
+}
+v8i32
+__lasx_xvrotri_w (v8i32 _1)
+{
+ return __builtin_lasx_xvrotri_w (_1, 1);
+}
+v4i64
+__lasx_xvrotri_d (v4i64 _1)
+{
+ return __builtin_lasx_xvrotri_d (_1, 1);
+}
+v4i64
+__lasx_xvextl_q_d (v4i64 _1)
+{
+ return __builtin_lasx_xvextl_q_d (_1);
+}
+v32i8
+__lasx_xvsrlni_b_h (v32i8 _1, v32i8 _2)
+{
+ return __builtin_lasx_xvsrlni_b_h (_1, _2, 1);
+}
+v16i16
+__lasx_xvsrlni_h_w (v16i16 _1, v16i16 _2)
+{
+ return __builtin_lasx_xvsrlni_h_w (_1, _2, 1);
+}
+v8i32
+__lasx_xvsrlni_w_d (v8i32 _1, v8i32 _2)
+{
+ return __builtin_lasx_xvsrlni_w_d (_1, _2, 1);
+}
+v4i64
+__lasx_xvsrlni_d_q (v4i64 _1, v4i64 _2)
+{
+ return __builtin_lasx_xvsrlni_d_q (_1, _2, 1);
+}
+v32i8
+__lasx_xvsrlrni_b_h (v32i8 _1, v32i8 _2)
+{
+ return __builtin_lasx_xvsrlrni_b_h (_1, _2, 1);
+}
+v16i16
+__lasx_xvsrlrni_h_w (v16i16 _1, v16i16 _2)
+{
+ return __builtin_lasx_xvsrlrni_h_w (_1, _2, 1);
+}
+v8i32
+__lasx_xvsrlrni_w_d (v8i32 _1, v8i32 _2)
+{
+ return __builtin_lasx_xvsrlrni_w_d (_1, _2, 1);
+}
+v4i64
+__lasx_xvsrlrni_d_q (v4i64 _1, v4i64 _2)
+{
+ return __builtin_lasx_xvsrlrni_d_q (_1, _2, 1);
+}
+v32i8
+__lasx_xvssrlni_b_h (v32i8 _1, v32i8 _2)
+{
+ return __builtin_lasx_xvssrlni_b_h (_1, _2, 1);
+}
+v16i16
+__lasx_xvssrlni_h_w (v16i16 _1, v16i16 _2)
+{
+ return __builtin_lasx_xvssrlni_h_w (_1, _2, 1);
+}
+v8i32
+__lasx_xvssrlni_w_d (v8i32 _1, v8i32 _2)
+{
+ return __builtin_lasx_xvssrlni_w_d (_1, _2, 1);
+}
+v4i64
+__lasx_xvssrlni_d_q (v4i64 _1, v4i64 _2)
+{
+ return __builtin_lasx_xvssrlni_d_q (_1, _2, 1);
+}
+v32u8
+__lasx_xvssrlni_bu_h (v32u8 _1, v32i8 _2)
+{
+ return __builtin_lasx_xvssrlni_bu_h (_1, _2, 1);
+}
+v16u16
+__lasx_xvssrlni_hu_w (v16u16 _1, v16i16 _2)
+{
+ return __builtin_lasx_xvssrlni_hu_w (_1, _2, 1);
+}
+v8u32
+__lasx_xvssrlni_wu_d (v8u32 _1, v8i32 _2)
+{
+ return __builtin_lasx_xvssrlni_wu_d (_1, _2, 1);
+}
+v4u64
+__lasx_xvssrlni_du_q (v4u64 _1, v4i64 _2)
+{
+ return __builtin_lasx_xvssrlni_du_q (_1, _2, 1);
+}
+v32i8
+__lasx_xvssrlrni_b_h (v32i8 _1, v32i8 _2)
+{
+ return __builtin_lasx_xvssrlrni_b_h (_1, _2, 1);
+}
+v16i16
+__lasx_xvssrlrni_h_w (v16i16 _1, v16i16 _2)
+{
+ return __builtin_lasx_xvssrlrni_h_w (_1, _2, 1);
+}
+v8i32
+__lasx_xvssrlrni_w_d (v8i32 _1, v8i32 _2)
+{
+ return __builtin_lasx_xvssrlrni_w_d (_1, _2, 1);
+}
+v4i64
+__lasx_xvssrlrni_d_q (v4i64 _1, v4i64 _2)
+{
+ return __builtin_lasx_xvssrlrni_d_q (_1, _2, 1);
+}
+v32u8
+__lasx_xvssrlrni_bu_h (v32u8 _1, v32i8 _2)
+{
+ return __builtin_lasx_xvssrlrni_bu_h (_1, _2, 1);
+}
+v16u16
+__lasx_xvssrlrni_hu_w (v16u16 _1, v16i16 _2)
+{
+ return __builtin_lasx_xvssrlrni_hu_w (_1, _2, 1);
+}
+v8u32
+__lasx_xvssrlrni_wu_d (v8u32 _1, v8i32 _2)
+{
+ return __builtin_lasx_xvssrlrni_wu_d (_1, _2, 1);
+}
+v4u64
+__lasx_xvssrlrni_du_q (v4u64 _1, v4i64 _2)
+{
+ return __builtin_lasx_xvssrlrni_du_q (_1, _2, 1);
+}
+v32i8
+__lasx_xvsrani_b_h (v32i8 _1, v32i8 _2)
+{
+ return __builtin_lasx_xvsrani_b_h (_1, _2, 1);
+}
+v16i16
+__lasx_xvsrani_h_w (v16i16 _1, v16i16 _2)
+{
+ return __builtin_lasx_xvsrani_h_w (_1, _2, 1);
+}
+v8i32
+__lasx_xvsrani_w_d (v8i32 _1, v8i32 _2)
+{
+ return __builtin_lasx_xvsrani_w_d (_1, _2, 1);
+}
+v4i64
+__lasx_xvsrani_d_q (v4i64 _1, v4i64 _2)
+{
+ return __builtin_lasx_xvsrani_d_q (_1, _2, 1);
+}
+v32i8
+__lasx_xvsrarni_b_h (v32i8 _1, v32i8 _2)
+{
+ return __builtin_lasx_xvsrarni_b_h (_1, _2, 1);
+}
+v16i16
+__lasx_xvsrarni_h_w (v16i16 _1, v16i16 _2)
+{
+ return __builtin_lasx_xvsrarni_h_w (_1, _2, 1);
+}
+v8i32
+__lasx_xvsrarni_w_d (v8i32 _1, v8i32 _2)
+{
+ return __builtin_lasx_xvsrarni_w_d (_1, _2, 1);
+}
+v4i64
+__lasx_xvsrarni_d_q (v4i64 _1, v4i64 _2)
+{
+ return __builtin_lasx_xvsrarni_d_q (_1, _2, 1);
+}
+v32i8
+__lasx_xvssrani_b_h (v32i8 _1, v32i8 _2)
+{
+ return __builtin_lasx_xvssrani_b_h (_1, _2, 1);
+}
+v16i16
+__lasx_xvssrani_h_w (v16i16 _1, v16i16 _2)
+{
+ return __builtin_lasx_xvssrani_h_w (_1, _2, 1);
+}
+v8i32
+__lasx_xvssrani_w_d (v8i32 _1, v8i32 _2)
+{
+ return __builtin_lasx_xvssrani_w_d (_1, _2, 1);
+}
+v4i64
+__lasx_xvssrani_d_q (v4i64 _1, v4i64 _2)
+{
+ return __builtin_lasx_xvssrani_d_q (_1, _2, 1);
+}
+v32u8
+__lasx_xvssrani_bu_h (v32u8 _1, v32i8 _2)
+{
+ return __builtin_lasx_xvssrani_bu_h (_1, _2, 1);
+}
+v16u16
+__lasx_xvssrani_hu_w (v16u16 _1, v16i16 _2)
+{
+ return __builtin_lasx_xvssrani_hu_w (_1, _2, 1);
+}
+v8u32
+__lasx_xvssrani_wu_d (v8u32 _1, v8i32 _2)
+{
+ return __builtin_lasx_xvssrani_wu_d (_1, _2, 1);
+}
+v4u64
+__lasx_xvssrani_du_q (v4u64 _1, v4i64 _2)
+{
+ return __builtin_lasx_xvssrani_du_q (_1, _2, 1);
+}
+v32i8
+__lasx_xvssrarni_b_h (v32i8 _1, v32i8 _2)
+{
+ return __builtin_lasx_xvssrarni_b_h (_1, _2, 1);
+}
+v16i16
+__lasx_xvssrarni_h_w (v16i16 _1, v16i16 _2)
+{
+ return __builtin_lasx_xvssrarni_h_w (_1, _2, 1);
+}
+v8i32
+__lasx_xvssrarni_w_d (v8i32 _1, v8i32 _2)
+{
+ return __builtin_lasx_xvssrarni_w_d (_1, _2, 1);
+}
+v4i64
+__lasx_xvssrarni_d_q (v4i64 _1, v4i64 _2)
+{
+ return __builtin_lasx_xvssrarni_d_q (_1, _2, 1);
+}
+v32u8
+__lasx_xvssrarni_bu_h (v32u8 _1, v32i8 _2)
+{
+ return __builtin_lasx_xvssrarni_bu_h (_1, _2, 1);
+}
+v16u16
+__lasx_xvssrarni_hu_w (v16u16 _1, v16i16 _2)
+{
+ return __builtin_lasx_xvssrarni_hu_w (_1, _2, 1);
+}
+v8u32
+__lasx_xvssrarni_wu_d (v8u32 _1, v8i32 _2)
+{
+ return __builtin_lasx_xvssrarni_wu_d (_1, _2, 1);
+}
+v4u64
+__lasx_xvssrarni_du_q (v4u64 _1, v4i64 _2)
+{
+ return __builtin_lasx_xvssrarni_du_q (_1, _2, 1);
+}
+int
+__lasx_xbnz_b (v32u8 _1)
+{
+ return __builtin_lasx_xbnz_b (_1);
+}
+int
+__lasx_xbnz_d (v4u64 _1)
+{
+ return __builtin_lasx_xbnz_d (_1);
+}
+int
+__lasx_xbnz_h (v16u16 _1)
+{
+ return __builtin_lasx_xbnz_h (_1);
+}
+int
+__lasx_xbnz_v (v32u8 _1)
+{
+ return __builtin_lasx_xbnz_v (_1);
+}
+int
+__lasx_xbnz_w (v8u32 _1)
+{
+ return __builtin_lasx_xbnz_w (_1);
+}
+int
+__lasx_xbz_b (v32u8 _1)
+{
+ return __builtin_lasx_xbz_b (_1);
+}
+int
+__lasx_xbz_d (v4u64 _1)
+{
+ return __builtin_lasx_xbz_d (_1);
+}
+int
+__lasx_xbz_h (v16u16 _1)
+{
+ return __builtin_lasx_xbz_h (_1);
+}
+int
+__lasx_xbz_v (v32u8 _1)
+{
+ return __builtin_lasx_xbz_v (_1);
+}
+int
+__lasx_xbz_w (v8u32 _1)
+{
+ return __builtin_lasx_xbz_w (_1);
+}
+v4i64
+__lasx_xvfcmp_caf_d (v4f64 _1, v4f64 _2)
+{
+ return __builtin_lasx_xvfcmp_caf_d (_1, _2);
+}
+v8i32
+__lasx_xvfcmp_caf_s (v8f32 _1, v8f32 _2)
+{
+ return __builtin_lasx_xvfcmp_caf_s (_1, _2);
+}
+v4i64
+__lasx_xvfcmp_ceq_d (v4f64 _1, v4f64 _2)
+{
+ return __builtin_lasx_xvfcmp_ceq_d (_1, _2);
+}
+v8i32
+__lasx_xvfcmp_ceq_s (v8f32 _1, v8f32 _2)
+{
+ return __builtin_lasx_xvfcmp_ceq_s (_1, _2);
+}
+v4i64
+__lasx_xvfcmp_cle_d (v4f64 _1, v4f64 _2)
+{
+ return __builtin_lasx_xvfcmp_cle_d (_1, _2);
+}
+v8i32
+__lasx_xvfcmp_cle_s (v8f32 _1, v8f32 _2)
+{
+ return __builtin_lasx_xvfcmp_cle_s (_1, _2);
+}
+v4i64
+__lasx_xvfcmp_clt_d (v4f64 _1, v4f64 _2)
+{
+ return __builtin_lasx_xvfcmp_clt_d (_1, _2);
+}
+v8i32
+__lasx_xvfcmp_clt_s (v8f32 _1, v8f32 _2)
+{
+ return __builtin_lasx_xvfcmp_clt_s (_1, _2);
+}
+v4i64
+__lasx_xvfcmp_cne_d (v4f64 _1, v4f64 _2)
+{
+ return __builtin_lasx_xvfcmp_cne_d (_1, _2);
+}
+v8i32
+__lasx_xvfcmp_cne_s (v8f32 _1, v8f32 _2)
+{
+ return __builtin_lasx_xvfcmp_cne_s (_1, _2);
+}
+v4i64
+__lasx_xvfcmp_cor_d (v4f64 _1, v4f64 _2)
+{
+ return __builtin_lasx_xvfcmp_cor_d (_1, _2);
+}
+v8i32
+__lasx_xvfcmp_cor_s (v8f32 _1, v8f32 _2)
+{
+ return __builtin_lasx_xvfcmp_cor_s (_1, _2);
+}
+v4i64
+__lasx_xvfcmp_cueq_d (v4f64 _1, v4f64 _2)
+{
+ return __builtin_lasx_xvfcmp_cueq_d (_1, _2);
+}
+v8i32
+__lasx_xvfcmp_cueq_s (v8f32 _1, v8f32 _2)
+{
+ return __builtin_lasx_xvfcmp_cueq_s (_1, _2);
+}
+v4i64
+__lasx_xvfcmp_cule_d (v4f64 _1, v4f64 _2)
+{
+ return __builtin_lasx_xvfcmp_cule_d (_1, _2);
+}
+v8i32
+__lasx_xvfcmp_cule_s (v8f32 _1, v8f32 _2)
+{
+ return __builtin_lasx_xvfcmp_cule_s (_1, _2);
+}
+v4i64
+__lasx_xvfcmp_cult_d (v4f64 _1, v4f64 _2)
+{
+ return __builtin_lasx_xvfcmp_cult_d (_1, _2);
+}
+v8i32
+__lasx_xvfcmp_cult_s (v8f32 _1, v8f32 _2)
+{
+ return __builtin_lasx_xvfcmp_cult_s (_1, _2);
+}
+v4i64
+__lasx_xvfcmp_cun_d (v4f64 _1, v4f64 _2)
+{
+ return __builtin_lasx_xvfcmp_cun_d (_1, _2);
+}
+v4i64
+__lasx_xvfcmp_cune_d (v4f64 _1, v4f64 _2)
+{
+ return __builtin_lasx_xvfcmp_cune_d (_1, _2);
+}
+v8i32
+__lasx_xvfcmp_cune_s (v8f32 _1, v8f32 _2)
+{
+ return __builtin_lasx_xvfcmp_cune_s (_1, _2);
+}
+v8i32
+__lasx_xvfcmp_cun_s (v8f32 _1, v8f32 _2)
+{
+ return __builtin_lasx_xvfcmp_cun_s (_1, _2);
+}
+v4i64
+__lasx_xvfcmp_saf_d (v4f64 _1, v4f64 _2)
+{
+ return __builtin_lasx_xvfcmp_saf_d (_1, _2);
+}
+v8i32
+__lasx_xvfcmp_saf_s (v8f32 _1, v8f32 _2)
+{
+ return __builtin_lasx_xvfcmp_saf_s (_1, _2);
+}
+v4i64
+__lasx_xvfcmp_seq_d (v4f64 _1, v4f64 _2)
+{
+ return __builtin_lasx_xvfcmp_seq_d (_1, _2);
+}
+v8i32
+__lasx_xvfcmp_seq_s (v8f32 _1, v8f32 _2)
+{
+ return __builtin_lasx_xvfcmp_seq_s (_1, _2);
+}
+v4i64
+__lasx_xvfcmp_sle_d (v4f64 _1, v4f64 _2)
+{
+ return __builtin_lasx_xvfcmp_sle_d (_1, _2);
+}
+v8i32
+__lasx_xvfcmp_sle_s (v8f32 _1, v8f32 _2)
+{
+ return __builtin_lasx_xvfcmp_sle_s (_1, _2);
+}
+v4i64
+__lasx_xvfcmp_slt_d (v4f64 _1, v4f64 _2)
+{
+ return __builtin_lasx_xvfcmp_slt_d (_1, _2);
+}
+v8i32
+__lasx_xvfcmp_slt_s (v8f32 _1, v8f32 _2)
+{
+ return __builtin_lasx_xvfcmp_slt_s (_1, _2);
+}
+v4i64
+__lasx_xvfcmp_sne_d (v4f64 _1, v4f64 _2)
+{
+ return __builtin_lasx_xvfcmp_sne_d (_1, _2);
+}
+v8i32
+__lasx_xvfcmp_sne_s (v8f32 _1, v8f32 _2)
+{
+ return __builtin_lasx_xvfcmp_sne_s (_1, _2);
+}
+v4i64
+__lasx_xvfcmp_sor_d (v4f64 _1, v4f64 _2)
+{
+ return __builtin_lasx_xvfcmp_sor_d (_1, _2);
+}
+v8i32
+__lasx_xvfcmp_sor_s (v8f32 _1, v8f32 _2)
+{
+ return __builtin_lasx_xvfcmp_sor_s (_1, _2);
+}
+v4i64
+__lasx_xvfcmp_sueq_d (v4f64 _1, v4f64 _2)
+{
+ return __builtin_lasx_xvfcmp_sueq_d (_1, _2);
+}
+v8i32
+__lasx_xvfcmp_sueq_s (v8f32 _1, v8f32 _2)
+{
+ return __builtin_lasx_xvfcmp_sueq_s (_1, _2);
+}
+v4i64
+__lasx_xvfcmp_sule_d (v4f64 _1, v4f64 _2)
+{
+ return __builtin_lasx_xvfcmp_sule_d (_1, _2);
+}
+v8i32
+__lasx_xvfcmp_sule_s (v8f32 _1, v8f32 _2)
+{
+ return __builtin_lasx_xvfcmp_sule_s (_1, _2);
+}
+v4i64
+__lasx_xvfcmp_sult_d (v4f64 _1, v4f64 _2)
+{
+ return __builtin_lasx_xvfcmp_sult_d (_1, _2);
+}
+v8i32
+__lasx_xvfcmp_sult_s (v8f32 _1, v8f32 _2)
+{
+ return __builtin_lasx_xvfcmp_sult_s (_1, _2);
+}
+v4i64
+__lasx_xvfcmp_sun_d (v4f64 _1, v4f64 _2)
+{
+ return __builtin_lasx_xvfcmp_sun_d (_1, _2);
+}
+v4i64
+__lasx_xvfcmp_sune_d (v4f64 _1, v4f64 _2)
+{
+ return __builtin_lasx_xvfcmp_sune_d (_1, _2);
+}
+v8i32
+__lasx_xvfcmp_sune_s (v8f32 _1, v8f32 _2)
+{
+ return __builtin_lasx_xvfcmp_sune_s (_1, _2);
+}
+v8i32
+__lasx_xvfcmp_sun_s (v8f32 _1, v8f32 _2)
+{
+ return __builtin_lasx_xvfcmp_sun_s (_1, _2);
+}
+v4f64
+__lasx_xvpickve_d_f (v4f64 _1)
+{
+ return __builtin_lasx_xvpickve_d_f (_1, 1);
+}
+v8f32
+__lasx_xvpickve_w_f (v8f32 _1)
+{
+ return __builtin_lasx_xvpickve_w_f (_1, 1);
+}
+v32i8
+__lasx_xvrepli_b ()
+{
+ return __builtin_lasx_xvrepli_b (1);
+}
+v4i64
+__lasx_xvrepli_d ()
+{
+ return __builtin_lasx_xvrepli_d (1);
+}
+v16i16
+__lasx_xvrepli_h ()
+{
+ return __builtin_lasx_xvrepli_h (1);
+}
+v8i32
+__lasx_xvrepli_w ()
+{
+ return __builtin_lasx_xvrepli_w (1);
+}
--
2.33.0
1
https://gitee.com/huyubiao/gcc.git
git@gitee.com:huyubiao/gcc.git
huyubiao
gcc
gcc
master

搜索帮助