1 Star 3 Fork 0

jmqian1009 / 多周期RISC-V CPU设计

加入 Gitee
与超过 1200万 开发者一起发现、参与优秀开源项目,私有仓库也完全免费 :)
免费加入
该仓库未声明开源许可证文件(LICENSE),使用请关注具体项目描述及其代码上游依赖。
克隆/下载
ALU.sv 1.79 KB
AI 代码解读
一键复制 编辑 原始数据 按行查看 历史
jmqian1009 提交于 2022-03-18 09:20 . 修复了算数右移的bug
`timescale 1ns / 1ps
//////////////////////////////////////////////////////////////////////////////////
// Company:
// Engineer:
//
// Create Date: 2022/03/16 16:43:50
// Design Name:
// Module Name: ALU
// Project Name:
// Target Devices:
// Tool Versions:
// Description:
//
// Dependencies:
//
// Revision:
// Revision 0.01 - File Created
// Additional Comments:
//
//////////////////////////////////////////////////////////////////////////////////
import Params::*;
`include "Parameters.v"
module ALU(
input logic [31:0] Operand1,
input logic [31:0] Operand2,
input AluOp AluControl,
output logic [31:0] AluOut
);
always_comb
begin
case(AluControl)
SLL:AluOut=Operand1<<(Operand2[4:0]); //逻辑左移
SRL:AluOut=Operand1>>(Operand2[4:0]); //逻辑右移
SRA:AluOut=$signed(Operand1)>>>(Operand2[4:0]); //算术右移
ADD:AluOut=Operand1+Operand2; //加
SUB:AluOut=Operand1-Operand2; //减
XOR:AluOut=Operand1^Operand2; //异或
OR:AluOut=Operand1|Operand2; //或
AND:AluOut=Operand1&Operand2; //与
SLT:AluOut=($signed(Operand1)<$signed(Operand2))?32'd1:32'd0; //小于置位
SLTU:AluOut=(Operand1<Operand2)?32'd1:32'd0; //无符号小于置位
LUI:AluOut=Operand2; //LUI指令
default:AluOut=0;
endcase
end
endmodule
//ALUContrl
/*
`define SLL 4'd0
`define SRL 4'd1
`define SRA 4'd2
`define ADD 4'd3
`define SUB 4'd4
`define XOR 4'd5
`define OR 4'd6
`define AND 4'd7
`define SLT 4'd8
`define SLTU 4'd9
`define LUI 4'd10
*/
1
https://gitee.com/jmqian1009/multi-cycle-risc-v-cpu-design.git
git@gitee.com:jmqian1009/multi-cycle-risc-v-cpu-design.git
jmqian1009
multi-cycle-risc-v-cpu-design
多周期RISC-V CPU设计
master

搜索帮助