1 Star 3 Fork 0

jmqian1009 / 多周期RISC-V CPU设计

加入 Gitee
与超过 1200万 开发者一起发现、参与优秀开源项目,私有仓库也完全免费 :)
免费加入
该仓库未声明开源许可证文件(LICENSE),使用请关注具体项目描述及其代码上游依赖。
克隆/下载
Data_Ext.sv 1.93 KB
一键复制 编辑 原始数据 按行查看 历史
jmqian1009 提交于 2022-03-18 09:20 . 修复了算数右移的bug
`timescale 1ns / 1ps
//////////////////////////////////////////////////////////////////////////////////
// Company:
// Engineer:
//
// Create Date: 2022/03/16 15:49:39
// Design Name:
// Module Name: Data_Ext
// Project Name:
// Target Devices:
// Tool Versions:
// Description:
//
// Dependencies:
//
// Revision:
// Revision 0.01 - File Created
// Additional Comments:
//
//////////////////////////////////////////////////////////////////////////////////
`include "Parameters.v"
import Params::*;
module Data_Ext(
input logic [1:0] LoadByteSelect,
input LoadType RegWrite,
input logic [31:0] In,
output logic [31:0] Out
);
//RegWrite决定读取的字还是半字还是字节
//LoadByteSelect决定读取哪个半字、哪个字节
always_comb
begin
case(RegWrite)
LW:Out=In;
LH:begin
case(LoadByteSelect)
2'b00:Out={{16{In[15]}},In[15:0]};
2'b01:Out={{16{In[23]}},In[23:8]};
2'b10:Out={{16{In[31]}},In[31:16]};
2'b11:Out=0; //错误
endcase
end
LHU:begin
case(LoadByteSelect)
2'b00:Out={16'd0,In[15:0]};
2'b01:Out={16'd0,In[23:8]};
2'b10:Out={16'd0,In[31:16]};
2'b11:Out=0; //错误
endcase
end
LB:begin
case(LoadByteSelect)
2'b00:Out={{24{In[7]}},In[7:0]};
2'b01:Out={{24{In[15]}},In[15:8]};
2'b10:Out={{24{In[23]}},In[23:16]};
2'b11:Out={{24{In[31]}},In[31:24]};
endcase
end
LBU:begin
case(LoadByteSelect)
2'b00:Out={24'd0,In[7:0]};
2'b01:Out={24'd0,In[15:8]};
2'b10:Out={24'd0,In[23:16]};
2'b11:Out={24'd0,In[31:24]};
endcase
end
default:Out=In;
endcase
end
endmodule
马建仓 AI 助手
尝试更多
代码解读
代码找茬
代码优化
1
https://gitee.com/jmqian1009/multi-cycle-risc-v-cpu-design.git
git@gitee.com:jmqian1009/multi-cycle-risc-v-cpu-design.git
jmqian1009
multi-cycle-risc-v-cpu-design
多周期RISC-V CPU设计
master

搜索帮助