1 Star 10 Fork 3

ZoRoronoa / MIPS_CPU

加入 Gitee
与超过 1200万 开发者一起发现、参与优秀开源项目,私有仓库也完全免费 :)
免费加入
该仓库未声明开源许可证文件(LICENSE),使用请关注具体项目描述及其代码上游依赖。
克隆/下载
README.md 927 Bytes
一键复制 编辑 原始数据 按行查看 历史
ZoRoronoa 提交于 2020-08-03 11:54 . 基本完成

武汉大学计算机学院 计算机组成与设计 实验科目

单周期/流水线 CPU实现

  • 单周期实现指令:

    add/sub/and/or/slt/sltu/addu/subu

    addi/ori/lw/sw/beq

    j/jal

    sll/nor/lui/slti/bne/andi/srl/sllv/srlv/jr/jalr

    xor/sra/srav

    lb/lh/lbu/lhu/sb/sh

    共35条指令

  • 流水线实现指令:

    add/sub/and/or/slt/sltu/addu/subu

    addi/ori/lw/sw/beq

    j/jal

    sll/nor/lui/slti/bne/andi/srl/sllv/srlv/jr/jalr

    xor/sra/srav/xori

    muti/mfhi/mflo

    共33条指令(乘法指令不支持冒险)

主要参考书目:李亚民,计算机原理与设计:Verilog HDL版;北京:清华大学出版社,2011.6, ISBN:978-7-302-25109-5.

建议:

  1. 实验操作之前参见实验报告中的 实验心得 部分
  2. 框架无法构建时,参见上述书目,提供一个基础的框架
  3. 受疫情影响,并未到校上板子,实验仍处于仿真完成阶段。
Verilog
1
https://gitee.com/zororonoa/MIPS_CPU.git
git@gitee.com:zororonoa/MIPS_CPU.git
zororonoa
MIPS_CPU
MIPS_CPU
master

搜索帮助