1 Star 19 Fork 5

lwhengbit / risc-v-cpu

加入 Gitee
与超过 1200万 开发者一起发现、参与优秀开源项目,私有仓库也完全免费 :)
免费加入
克隆/下载
贡献代码
同步代码
取消
提示: 由于 Git 不支持空文件夾,创建文件夹后会生成空的 .keep 文件
Loading...
README
GPL-3.0

risc-v-cpu

[TOC]

本项目使用Verilog语言,设计实现一个简单的5级流水线CPU,兼容RV32I指令集。

语法上采用可综合语法完成设计,为简单可综合的5级流水线RISC-V处理器。

0.说明

  • 项目地址:https://gitee.com/lwhengbit/risc-v-cpu

  • 项目开发的初衷是实践微处理器结构与设计课程中讲述的基本知识,了解简单5级流水线微处理器设计中需要面临的基础问题,为之后的学习进步打好基础。

  • 从体系结构角度,本项目实现了简单的5级流水线,使用阻塞方法处理所有数据相关、名相关和控制相关;从RISC-V设计角度,实现了M态下RV32I指令集。

1.概要设计说明

  • 具体实现指令如下:

    image-20211226195035615

1.1 指令说明

1.1.1 R-type(寄存器-寄存器型)

r-type

  • add

    指令功能 reg[rd] = reg[rs1] + reg[rs2]
    opcode b0110011
    funct3 b000
    funct7 b0000000
  • sub

    指令功能 reg[rd] = reg[rs1] - reg[rs2]
    opcode b0110011
    funct3 b000
    funct7 b0100000
  • sll

    指令功能 reg[rd] = reg[rs1] << reg[rs2][4:0]
    opcode b0110011
    funct3 b001
    funct7 b0000000
  • slt

    指令功能 if reg[rs1] < reg[rs2] then set reg[rd] = 1
    opcode b0110011
    funct3 b010
    funct7 b0000000
  • sltu

    指令功能 if reg[rs1] < reg[rs2] then set reg[rd] = 1
    opcode b0110011
    funct3 b011
    funct7 b0000000
  • xor

    指令功能 reg[rd] = reg[rs1] ^ reg[rs2]
    opcode b0110011
    funct3 b100
    funct7 b0000000
  • srl

    指令功能 reg[rd] = reg[rs1] >> reg[rs2][4:0]
    opcode b0110011
    funct3 b101
    funct7 b0000000
  • sra

    指令功能 reg[rd] = reg[rs1] << reg[rs2][4:0]
    opcode b0110011
    funct3 b101
    funct7 b0100000
  • or

    指令功能 reg[rd] = reg[rs1] | reg[rs2]
    opcode b0110011
    funct3 b110
    funct7 b0000000
  • and

    指令功能 reg[rd] = reg[rs1] & reg[rs2]
    opcode b0110011
    funct3 b111
    funct7 b0000000

1.1.2 I-type(寄存器-立即数型,包括load型指令)

I-type

  • addi

    指令功能 reg[rd] = reg[rs1] + immediate
    opcode b0010011
    funct3 b000
  • slli

    指令功能 reg[rd] = reg[rs1] << shamt
    opcode b0010011
    funct3 b001
    funct7 b0000000
  • slti

    指令功能 if reg[rs1] < immediate then set reg[rd] = 1
    opcode b0010011
    funct3 b010
  • sltiu

    指令功能 if reg[rs1] < immediate then set reg[rd] = 1
    opcode b0010011
    funct3 b011
  • xori

    指令功能 reg[rd] = reg[rs1] ^ immediate
    opcode b0010011
    funct3 b100
  • srli

    指令功能 reg[rd] = reg[rs1] >> shamt
    opcode b0010011
    funct3 b101
    funct7 0000000
  • srai

    指令功能 reg[rd] = reg[rs1] << shamt
    opcode b0010011
    funct3 b000
    funct7 b0100000
  • ori

    指令功能 reg[rd] = reg[rs1] + reg[rs2]
    opcode b0010011
    funct3 b000
  • andi

    指令功能 reg[rd] = reg[rs1] + immediate
    opcode b0010011
    funct3 b111
  • lb

    指令功能 reg[rd] = mem[reg[rs1] + immediate]
    opcode b0000011
    funct3 b000
  • lh

    指令功能 reg[rd] = mem[reg[rs1] + immediate]
    opcode b0000011
    funct3 b001
  • lw

    指令功能 reg[rd] = mem[reg[rs1] + immediate]
    opcode b0000011
    funct3 b010
  • lbu

    指令功能 reg[rd] = unsigned mem[reg[rs1] + immediate]
    opcode b0000011
    funct3 b100
  • lhu

    指令功能 reg[rd] = unsigned mem[reg[rs1] + immediate]
    opcode b0000011
    funct3 b101
  • jalr

    指令功能 jump to reg[rs1] + immediate and set reg[rd] = pc+4
    opcode b0010011
    funct3 b000

1.1.3 U-type

  • lui

    指令功能 reg[rd] = immediate << 12
    opcode 0110111
  • auipc

    指令功能 pc = pc+immediate << 12 then
    opcode 0010111
  • jal

    指令功能 jump to reg[rs1] + immediate and set reg[rd] = pc+4
    opcode 1101111

1.1.4 S-type(Store型)

  • sb

    指令功能 mem[reg[rs1] + offset] = reg[rs2]
    opcode 0100011
    funct3(width) 000
  • sh

    指令功能 mem[reg[rs1] + offset] = reg[rs2]
    opcode 0100011
    funct3(width) 001
  • sw

    指令功能 mem[reg[rs1] + offset] = reg[rs2]
    opcode 0100011
    funct3(width) 010

1.1.5 B-type(Branch型)

  • beq

    指令功能 Branch to pc+immediate, if reg[rs1] == reg[rs2]
    opcode 1100011
    funct3 000
  • bne

    指令功能 Branch to pc+immediate, if reg[rs1] != reg[rs2]
    opcode 1100011
    funct3 001
  • blt

    指令功能 Branch to pc+immediate, if reg[rs1] < reg[rs2]
    opcode 1100011
    funct3 100
  • bge

    指令功能 Branch to pc+immediate, if reg[rs1] >= reg[rs2]
    opcode 1100011
    funct3 101
  • bltu

    指令功能 Branch to pc+immediate, if unsigned reg[rs1] < unsigned reg[rs2]
    opcode 1100011
    funct3 110
  • bgeu

    指令功能 Branch to pc+immediate, if unsigned reg[rs1] >= unsigned reg[rs2]
    opcode 1100011
    funct3 111
  • 未实现系统寄存器,仅实现32个整数寄存器

2.详细设计说明

2.1 顶层结构

CPU主体结构示意图如下:

top

流水线示意图如下:

pipeline_detail.drawio

本项目中将ROM视为指令存储位置,RAM为Data存储位置,5级流水线的第一级Fetch Instruction 通过ROM和CPU通信完成,

2.2 模块划分

  • 本项目的模块层级结构如图所示,设顶层为Top。

    modules

2.3 模块说明

2.3.1 TOP

2.3.1.1 接口信号
信号名 端口方向 信号类型 信号宽度 信号功能
clk input wire 1 时钟信号
2.3.1.2 内部实现
  • 内部信号

    信号名 信号类型 信号宽度 信号功能
    ram_addr wire 10 用于CPU向RAM传递读写地址
    ram_read_data wire 32 用于接收RAM中读出的数据,并传给CPU
    ram_write_data wire 32 用于接收CPU中传出的数据,并传给RAM写
    ram_write_enable wire 1 RAM写使能信号,为1时写RAM
    instruction_addr wire 10 指令地址,用于从ROM中读出指令
    instruction wire 32 指令,用于接收ROM中读出的指令并传给CPU执行
  • 具体实现

    • 本模块为顶层模块,规定了CPU、ROM和RAM的连接方式:CPU给出指令地址(PC),ROM给出指令内容;load时,CPU给出访存地址,RAM读出数据,送ram_read_data;store时,CPU给出访存地址和写数据,并置写使能信号为1,RAM写数据
    `include "CPU.v"
    `include "RAM.v"
    `include "ROM.v"
    `timescale 1ns / 100ps
    
    module Top (
    	input clk
    );
    
    	wire [9:0] ram_addr;
    	wire [31:0] ram_read_data;
    	wire [31:0] ram_write_data;
    	wire ram_write_enable;
    
    	wire [9:0] instruction_addr;
    	wire [31:0] instruction;
    
    	CPU inst_CPU (
    			.clk              (clk),
    			.ram_read_data    (ram_read_data),
    			.instruction      (instruction),
    
    			.ram_addr         (ram_addr),
    			.ram_write_data   (ram_write_data),
    			.ram_write_enable (ram_write_enable),
    			.instruction_addr (instruction_addr)
    		);
    
    	ROM inst_ROM (
    			.address(instruction_addr),
    
    			.data(instruction)
    		);
    
    	RAM inst_RAM (
    			.address      (ram_addr),
    			.data_in      (ram_write_data),
    			.write_enable (ram_write_enable),
    			.clk          (clk),
    
    			.data_out     (ram_read_data)
    		);
    
    endmodule

2.3.2 ROM

2.3.2.1 接口信号
信号名 端口方向 信号类型 信号宽度 信号功能
address input wire 10 给出访问地址
data output wire 32 读出访问地址对应的数据
2.3.2.2 内部实现
  • 内部信号

    信号名 信号类型 信号宽度 信号功能
    memory reg 32 x 1024 定义存储单元,有1024*4B = 4KB大小
  • 具体实现

    • 本模块实现ROM(只读存储器)的功能建模,该模块根据给出地址读出数据
    module ROM (
    	input [9:0] address,
    	output [31:0] data
    );
    	reg [31:0] memory[1023:0];
    
    	assign data = memory[address];
    
    	initial begin
            // Example program:
    
            memory[0] = 32'h00000013; // nop (add x0 x0 0)
            
            // start:
            memory[1] = 32'h00100093; // addi x1 x0 1
            memory[2] = 32'h00100313; // addi x6 x0 1
            memory[3] = 32'h00400613; // addi x12 x0 4
            memory[4] = 32'h00602023; // sw x6 0(x0)        x6 R2 Dep (WB)
    
            // loop:
            memory[5] = 32'h00002303; // lw x6 0(x0)        
            memory[6] = 32'h00130313; // addi x6 x6 1       LoadStall and x6 R1 Dep (WB)
            memory[7] = 32'h00602023; // sw x6 0(x0)        x6 R2 Dep
            memory[8] = 32'hFEC34AE3; // blt x6 x12 -12     x6 R1 Dep (WB)
    
            // finish:
            memory[9] = 32'h00000013; // nop (add x0 x0 0)
        end
    	
    endmodule

2.3.3 RAM

2.3.3.1 接口信号
信号名 端口方向 信号类型 信号宽度 信号功能
address input wire 10 访存地址
data_in input wire 32 给出需要写入的数据
wire_enable input wire 1 写使能信号,为1时写入数据
clk input wire 1 时钟信号,RAM需要在时钟信号下运行,需要刷新
data_out output wire 32 读数据时,给出访存地址中存储的数据
2.3.3.2 内部实现
  • 内部信号

    信号名 信号类型 信号宽度 信号功能
    memory reg 32 x 1024 存储单元模拟,共4KB
  • 具体实现

    • 主要实现写操作,时钟上升沿到来时,若写使能信号为1,则写数据,否则数据不变
    module RAM (
    	input [9:0] address,
    	input [31:0] data_in,
    	input write_enable,
    	input clk,
    
    	output [31:0] data_out
    );
    	reg [31:0] memory[1023:0];
    
    	assign data_out = memory[address];
    
    	always @ (posedge clk) begin
            if (write_enable) begin
                memory[address] <= data_in;
            end
        end
    endmodule

2.3.4 CPU

2.3.4.1 接口信号
信号名 端口方向 信号类型 信号宽度 信号功能
clk input wire 1 时钟
ram_read_data input wire 32 从RAM中读取的数据
instruction input wire 32 从ROM中读取的指令
ram_addr output wire 10 访问RAM的地址
ram_write_data output reg 32 用于写RAM的数据
ram_write_enable output wire 1 用于RAM的写使能信号
instruction_addr output wire 10 指令地址,用于从ROM中取指令,为pc右移两位得到
2.3.4.2 内部实现
  • 参数列表

    信号名 信号类型 信号宽度 信号功能
    op_R_type parameter 7 R-type指令的opcode
    op_I_type_load parameter 7 I-type指令的opcode
    op_I_type_other parameter 7 I-type指令的opcode
    op_I_type_jump parameter 7 I-type指令的opcode
    op_S_type parameter 7 S-type指令的opcode
    op_B_type parameter 7 B-type指令的opcode
    op_U_type_load parameter 7 U-type指令的opcode
    op_U_type_jump parameter 7 U-type指令的opcode
    op_U_type_auipc parameter 7 U-type指令的opcode
    type_register parameter
    type_load parameter
    type_store parameter
    type_immediate parameter
    type_upperImmediate parameter
    type_brance parameter
    decode parameter
    execute parameter
    memory parameter
    writeback parameter
  • 各流水段和段寄存器使用的信号列表

    • Fetch Instruction

      信号名 信号类型 信号宽度 信号功能
      instruction reg 32 为ROM中读取的指令
      pc reg 10 指令计数器,用于计算instruction_addr
    • IF/ID

      信号名 信号类型 信号宽度 信号功能
      instruction_deconde_2 reg 32 储存指令
      pc_decode_2 reg 32 存储指令对应的pc
      reg_source_1_pipeline[decode] reg 5 存储rs1,为第一个操作数寄存器号
      reg_source_2_pipeline[decode] reg 5 存储rs2,为第二个操作数寄存器号
      reg_destinate_pipeline[decode] reg 5 存储rd,为目的寄存器号
      type_pipeline[decode] reg 3 存储指令类型
    • Decode Instruction

      信号名 信号类型 信号宽度 信号功能
    • ID/EXE

      信号名 信号类型 信号宽度 信号功能
      instruction_execute_3 reg 32 储存指令
      pc_execute_3 reg 32 存储指令对应的pc
      reg_source_1_pipeline[execute] reg 5 存储rs1,为第一个操作数寄存器号
      reg_source_2_pipeline[execute] reg 5 存储rs2,为第二个操作数寄存器号
      reg_destinate_pipeline[execute] reg 5 存储rd,为目的寄存器号
      type_pipeline[execute] reg 3 存储指令类型
    • Execute

      信号名 信号类型 信号宽度 信号功能
      opcode wire 7 处于Execute段(ID/EXE流水段寄存器)中的指令的opcode
      funct3 wire 3 处于Execute段(ID/EXE流水段寄存器)中的指令的funct3
      reg_source_1 wire 5 处于Execute段(ID/EXE流水段寄存器)中的指令的rs1
      reg_source_2 wire 5 处于Execute段(ID/EXE流水段寄存器)中的指令的rs2
      funct7 wire 7 处于Execute段(ID/EXE流水段寄存器)中的指令的funct7
      R_type wire 1 判断处于Execute段指令是否为R-type,若是,set to 1
      I_type_load wire 1 判断处于Execute段指令是否为I-type 指令中的load类型指令,若是,set to 1
      I_type_other wire 1 判断处于Execute段指令是否为I-type 指令中的其他类型指令,若是,set to 1
      I_type_jump wire 1 判断处于Execute段指令是否为I-type 指令中的jump类型指令,若是,set to 1
      I_type wire 1 判断处于Execute段指令是否为I-type,若是,set to 1
      S_type wire 1 判断处于Execute段指令是否为S-type,若是,set to 1
      B_type wire 1 判断处于Execute段指令是否为B-type,若是,set to 1
      U_type_load wire 1 判断处于Execute段指令是否为U-type 指令中的load类型指令,若是,set to 1
      U_type_jump wire 1 判断处于Execute段指令是否为U-type 指令中的jump类型指令,若是,set to 1
      U_type_auipc wire 1 判断处于Execute段指令是否为U-type 指令中的auipc类型指令,若是,set to 1
      U_type wire 1 判断处于Execute段指令是否为U-type,若是,set to 1
      R_add ... B_bgeu wire 1 共37个信号,用于判断当前指令的具体操作,根据对opcode、funct3和funct7的译码得到
      reg_source_1_data wire signed 32 用于ALU计算的操作数,为第一个操作数
      reg_source_2_data wire signed 32 用于ALU计算的操作数,为第二个操作数
      reg_source_1_data_unsigned wire 32 用于ALU计算的操作数,为第一个操作数的无符号数
      reg_source_2_data_unsigned wire 32 用于ALU计算的操作数,为第二个操作数的无符号数
      pc_alu_sel wire 1 用于指明是否改变pc的值,若为1,则置pc为alu计算结果
      immediate_value wire 32 存储immediate value
      immediate_selection wire 3 用于存储8位编码器输出,作为ImmediateExtractor的输入,指出当前指令的格式,从而明确提取immediate value的方法
      immediate_selection_inputs wire 8 用于8位编码器输入,转换为immediate_selection
      alu_operation_encoder_inputs wire 16 16位编码器输入,转换为alu_operation
      alu_operation wire 4 16位编码器输出,作为ALU输入,指出当前操作类型,明确需要进行的操作
      alu_source_1_selection_inputs wire 4 4位编码器输入,转换为alu_source_1_selection
      alu_source_1_selection wire 2 4位编码器输出,用于指出需要选择的操作数来源
      alu_source_2_selection_inputs wire 4 4位编码器输入,转换为alu_source_1_selection
      alu_source_2_selection wire 2 4位编码器输出,用于指出需要选择的操作数来源
    • EXE/MEM

      信号名 信号类型 信号宽度 信号功能
      instruction_memory_4 reg 32 储存指令
      pc_memory_4 reg 32 存储指令对应的pc
      reg_source_1_pipeline[memory] reg 5 存储rs1,为第一个操作数寄存器号
      reg_source_2_pipeline[memory] reg 5 存储rs2,为第二个操作数寄存器号
      reg_destinate_pipeline[memory] reg 5 存储rd,为目的寄存器号
      type_pipeline[memory] reg 3 存储指令类型
    • Memory

      信号名 信号类型 信号宽度 信号功能
      reg_destinate wire 5 用于给出写回Register File的目的寄存器号
      ram_write_enable wire 1 RAM写使能信号,Store指令且处于Memory段时置1
      ram_addr wire 10 访问RAM的地址,为alu计算结果
    • MEM/WB

      信号名 信号类型 信号宽度 信号功能
      instruction_writeback_5 reg 32 储存指令
      reg_source_1_pipeline[writeback] reg 5 存储rs1,为第一个操作数寄存器号
      reg_source_2_pipeline[writeback] reg 5 存储rs2,为第二个操作数寄存器号
      reg_destinate_pipeline[writeback] reg 5 存储rd,为目的寄存器号
      type_pipeline[writeback] reg 3 存储指令类型
    • Write Back

      信号名 信号类型 信号宽度 信号功能
      opcode_writeback_5 wire 7 WB段指令的opcode
      writeback_R_type … writeback_U_type wire 1 根据opcode_writeback_5置值,指明处于WB段的指令的类型
      reg_write_enable wire 1 若为R-type, I-type, U-type指令,需要写寄存器
      reg_writeback_selection_inputs wire 4 4位位编码器输入,转换为reg_writeback_selection
      reg_writeback_selection wire 2 4位编码器输出结果,指出指令类型,用于判断写回哪些数据
  • 阻塞信号

    信号名 信号类型 信号宽度 信号功能
    data_dependence_hazard_r1 wire 1 若执行段中rs1同访存段的rd相同则置1
    data_dependence_hazard_r2 wire 1 若执行段中rs2同访存段的rd相同则置1
    data_dependence_hazard_r1_writeback wire 1 若执行段中rs1同写回段的rd相同则置1
    data_dependence_hazard_r2_writeback wire 1 若执行段中rs2同写回段的rd相同则置1
    load_stall wire 1 若ID段指令同EXE段指令存在load-->use关系,则置1
    control_hazard_stall wire 1 若分支指令结果未出则阻塞
  • 具体实现

    `include "ALU.v"
    `include "RegisterFile.v"
    `include "ImmediateExtractor.v"
    `include "Encoders.v"
    
    module CPU (
    	input clk,
    	input [31:0] ram_read_data,
    	input [31:0] instruction,
    
    	output [9:0] ram_addr,
    	output reg [31:0] ram_write_data,
    	output ram_write_enable,
    	output [9:0] instruction_addr
    );
    ////////////////////////////////////////////////////////////////////////////////////////////////
    //////   Define Parameter here                                                          ////////
    ////////////////////////////////////////////////////////////////////////////////////////////////
    	parameter [6:0] op_R_type		= 7'h33;
    	parameter [6:0] op_I_type_load	= 7'h03;
    	parameter [6:0] op_I_type_other	= 7'h13;
    	parameter [6:0] op_I_type_jump	= 7'h6F;
    	parameter [6:0] op_S_type		= 7'h23;
    	parameter [6:0] op_B_type		= 7'h63;
    	parameter [6:0] op_U_type_load	= 7'h37;
    	parameter [6:0] op_U_type_jump	= 7'h67;
    	parameter [6:0] op_U_type_auipc	= 7'h17;
    
    	parameter type_register 		= 0;
    	parameter type_load 			= 1;
    	parameter type_store 			= 2;
    	parameter type_immediate 		= 3;
    	parameter type_upperImmediate 	= 4;
    	parameter type_branch 			= 5;
    
    	parameter decode 			= 0;
    	parameter execute 			= 1;
    	parameter memory 			= 2;
    	parameter writeback 		= 3;
    
    ////////////////////////////////////////////////////////////////////////////////////////////////
    //////   Define Segment registers here                                                  ////////
    ////////////////////////////////////////////////////////////////////////////////////////////////
    	reg [9:0] pc = 0;
    	assign instruction_addr = pc >> 2;
    	
    	reg [9:0] pc_decode_2 = 0;
    	reg [31:0] instruction_deconde_2 = 0;
    
    	reg [9:0] pc_execute_3 = 0;
    	reg [31:0] instruction_execute_3 = 0;
    
    	reg [9:0] pc_memory_4 = 0;
    	reg [31:0] instruction_memory_4 = 0;
    	reg [31:0] alu_out_memory_4;
    
    	reg [31:0] instruction_writeback_5 = 0;
    	reg [31:0] reg_write_data_writeback_5 = 0;
    	reg [31:0] ram_read_data_writeback_5 = 0;
    
    
    ////////////////////////////////////////////////////////////////////////////////////////////////
    //////   Define Hazard Signal here                                                          ////////
    ////////////////////////////////////////////////////////////////////////////////////////////////
    	wire data_dependence_hazard_r1;
    	wire data_dependence_hazard_r2;
    	wire data_dependence_hazard_r1_writeback;
    	wire data_dependence_hazard_r2_writeback;
    	wire load_stall;
    	wire control_hazard_stall;
    
    	wire [1:0] reg_writeback_selection;
    
    	wire signed [31:0] reg_source_1_data_execute_3;
    	wire signed [31:0] reg_source_2_data_execute_3;
    
    
    ////////////////////////////////////////////////////////////////////////////////////////////////
    //////   Define ALU Signals here                                                        ////////
    ////////////////////////////////////////////////////////////////////////////////////////////////
    
    
    	wire [6:0] opcode				= instruction_execute_3[6:0];
    	wire [4:0] reg_destinate		= instruction_writeback_5[11:7];
    	wire [2:0] funct3				= instruction_execute_3[14:12];
    	wire [4:0] reg_source_1			= instruction_execute_3[19:15];
    	wire [4:0] reg_source_2			= instruction_execute_3[24:20];
    	wire [6:0] funct7				= instruction_execute_3[31:25];
    
    	wire R_type 				= opcode == op_R_type;
    	wire I_type_load 			= opcode == op_I_type_load;
    	wire I_type_other  			= opcode == op_I_type_other;
    	wire I_type_jump  			= opcode == op_I_type_jump;
    	wire I_type 				= I_type_jump || I_type_other || I_type_load;
    	wire S_type 				= opcode == op_S_type;
    	wire B_type 				= opcode == op_B_type;
    	wire U_type_load 			= opcode == op_U_type_load;
    	wire U_type_jump 			= opcode == op_U_type_jump;
    	wire U_type_auipc 			= opcode == op_U_type_auipc;
    	wire U_type 				= U_type_load || U_type_jump || op_U_type_auipc;
    
    	///////////////////////////////////////////////////////////////////////////
    	//// Ten R-Type Instruction of RV32I                                   ////
    	///////////////////////////////////////////////////////////////////////////
    	wire R_add 				= R_type && funct3 == 3'h0 && funct7 == 7'h00;
    	wire R_sub 				= R_type && funct3 == 3'h0 && funct7 == 7'h20;
    	wire R_sll 				= R_type && funct3 == 3'h1 && funct7 == 7'h00;
    	wire R_slt 				= R_type && funct3 == 3'h2 && funct7 == 7'h00;
    	wire R_sltu 			= R_type && funct3 == 3'h3 && funct7 == 7'h00;
    	wire R_xor 				= R_type && funct3 == 3'h4 && funct7 == 7'h00;
    	wire R_srl 				= R_type && funct3 == 3'h5 && funct7 == 7'h00;
    	wire R_sra 				= R_type && funct3 == 3'h5 && funct7 == 7'h20;
    	wire R_or 				= R_type && funct3 == 3'h6 && funct7 == 7'h00;
    	wire R_and 				= R_type && funct3 == 3'h7 && funct7 == 7'h00;
    
    
    	///////////////////////////////////////////////////////////////////////////
    	//// Thirteen I-Type Instruction of RV32I                              ////
    	///////////////////////////////////////////////////////////////////////////
    	wire I_addi 			= I_type_other && funct3 == 3'h0;
    	wire I_slli 			= I_type_other && funct3 == 3'h1 && funct7 == 7'h00;
    	wire I_slti 			= I_type_other && funct3 == 3'h2;
    	wire I_sltiu 			= I_type_other && funct3 == 3'h3;
    	wire I_xori 			= I_type_other && funct3 == 3'h4;
    	wire I_srli 			= I_type_other && funct3 == 3'h5 && funct7 == 7'h00;
    	wire I_srai 			= I_type_other && funct3 == 3'h5 && funct7 == 7'h10;
    	wire I_ori 				= I_type_other && funct3 == 3'h6;
    	wire I_andi 			= I_type_other && funct3 == 3'h7;
    
    	wire I_lb 			= instruction_memory_4[6:0] == op_I_type_load && instruction_memory_4[14:12] == 3'h0;
    	wire I_lh 			= instruction_memory_4[6:0] == op_I_type_load && instruction_memory_4[14:12] == 3'h1;
    	wire I_lw 			= instruction_memory_4[6:0] == op_I_type_load && instruction_memory_4[14:12] == 3'h2;
    	wire I_lbu			= instruction_memory_4[6:0] == op_I_type_load && instruction_memory_4[14:12] == 3'h4;
    	wire I_lhu			= instruction_memory_4[6:0] == op_I_type_load && instruction_memory_4[14:12] == 3'h5;
    
    
    	wire I_jalr			= I_type_jump;
    
    	///////////////////////////////////////////////////////////////////////////
    	//// Three U-Type Instruction of RV32I                                 ////
    	///////////////////////////////////////////////////////////////////////////
    	wire U_lui			= U_type_load;
    	wire U_auipc 		= U_type_auipc;
    	wire U_jal 			= U_type_jump;
    
    
    	///////////////////////////////////////////////////////////////////////////
    	//// Three S-Type Instruction of RV32I                                 ////
    	///////////////////////////////////////////////////////////////////////////
    	wire S_lb 			= instruction_memory_4[6:0] == op_S_type && instruction_memory_4[14:12] == 3'h0;
    	wire S_lh 			= instruction_memory_4[6:0] == op_S_type && instruction_memory_4[14:12] == 3'h1;
    	wire S_lw 			= instruction_memory_4[6:0] == op_S_type && instruction_memory_4[14:12] == 3'h2;
    
    	///////////////////////////////////////////////////////////////////////////
    	//// Six B-Type Instruction of RV32I                                   ////
    	///////////////////////////////////////////////////////////////////////////
    	wire B_beq 			= B_type && funct3 == 0;
    	wire B_bne 			= B_type && funct3 == 1;
    	wire B_blt 			= B_type && funct3 == 4;
    	wire B_bge 			= B_type && funct3 == 5;
    	wire B_bltu 		= B_type && funct3 == 6;
    	wire B_bgeu 		= B_type && funct3 == 7;
    
    	wire signed [31:0] reg_source_1_data = data_dependence_hazard_r1 ? alu_out_memory_4: 
    											data_dependence_hazard_r1_writeback?
    												(reg_writeback_selection == 3? ram_read_data_writeback_5: reg_write_data_writeback_5)
    												: reg_source_1_data_execute_3;
    
    	wire signed [31:0] reg_source_2_data = data_dependence_hazard_r2 ? alu_out_memory_4: 
    											data_dependence_hazard_r2_writeback?
    												(reg_writeback_selection == 3? ram_read_data_writeback_5: reg_write_data_writeback_5)
    												: reg_source_2_data_execute_3;
    
    
    	// wire [31:0] r1		= [:];
    
    	wire [31:0] reg_source_1_data_unsigned = reg_source_1_data;
    	wire [31:0] reg_source_2_data_unsigned = reg_source_2_data;
    
    	// if processing branch type inst, and the branch result is true, set pc_alu_sel = 1
    	wire pc_alu_sel = (B_beq && reg_source_1_data == reg_source_2_data)
    						|| (B_bne && reg_source_1_data != reg_source_2_data)
    						|| (B_blt && reg_source_1_data < reg_source_2_data)
    						|| (B_bge && reg_source_1_data >= reg_source_2_data)
    						|| (B_bltu && reg_source_1_data_unsigned < reg_source_2_data_unsigned)
    						|| (B_bgeu && reg_source_1_data_unsigned >= reg_source_2_data_unsigned)
    						|| I_jalr
    						|| U_jal;
    
    	assign ram_write_enable = instruction_memory_4[6:0] == op_S_type;
    	assign ram_addr = alu_out_memory_4[9:0];
    
    
    	reg [4:0] reg_source_1_pipeline[3:0];	// source register 1 's register of current stage, is a part of segment register
    	reg [4:0] reg_source_2_pipeline[3:0];	// source register 2 's register of current stage, is a part of segment register
    	reg [4:0] reg_destinate_pipeline[3:0]; 	// destinate register 's register of current stage, is a part of segment register
    	reg [2:0] type_pipeline[3:0]; 			// instruction types of current stage. [R-Type=0, Load=1, Store=2, Immediate or UpperImmediate=3, Branch=4]
    
    	assign data_dependence_hazard_r1 			= reg_source_1_pipeline[execute] != 0 
    											&& type_pipeline[execute] != type_upperImmediate
    											&& reg_source_1_pipeline[execute] == reg_destinate_pipeline[memory];
    
    	assign data_dependence_hazard_r2 			= reg_source_2_pipeline[execute] != 0
    											&& type_pipeline[execute] != type_upperImmediate
    											&& type_pipeline[execute] != type_immediate
    											&& reg_source_2_pipeline[execute] == reg_destinate_pipeline[memory];
    
    	assign data_dependence_hazard_r1_writeback 			= reg_source_1_pipeline[execute] != 0
    														&& type_pipeline[execute] != type_upperImmediate
    														&& reg_source_1_pipeline[execute] == reg_destinate_pipeline[writeback];
    
    	assign data_dependence_hazard_r2_writeback 			= reg_source_2_pipeline[execute] != 0
    														&& type_pipeline[execute] != type_upperImmediate
    														&& type_pipeline[execute] != type_immediate
    														&& reg_source_2_pipeline[execute] == reg_destinate_pipeline[writeback];
    
    	assign load_stall 						= type_pipeline[execute] == type_load
    											&& (
    													(
    														type_pipeline[decode] != type_upperImmediate
    														&& type_pipeline[decode] != type_immediate
    														&& (
    																(reg_source_1_pipeline[decode] != 0 && reg_source_1_pipeline[decode] == reg_destinate_pipeline[execute])
    																|| (reg_source_2_pipeline[decode] != 0 && reg_source_2_pipeline[decode] == reg_destinate_pipeline[execute])
    															)
    													)
    													|| (
    															type_pipeline[decode] == type_immediate
    															&& reg_source_1_pipeline[decode] != 0
    															&& reg_source_1_pipeline[decode] == reg_destinate_pipeline[execute]
    														)
    												);
    
    	assign control_hazard_stall 			= instruction_deconde_2[6:0] == op_B_type || instruction_execute_3[6:0] == op_B_type;
    
    	// get the immediate value
    	// ImmediateExtractor
    	wire [31:0] immediate_value;
    	wire [2:0] immediate_selection;
    	wire [7:0] immediate_selection_inputs;
    
    	assign immediate_selection_inputs[0] = 0;
    	assign immediate_selection_inputs[1] = I_type;
    	assign immediate_selection_inputs[2] = U_type_load || U_type_auipc;
    	assign immediate_selection_inputs[3] = S_type;
    	assign immediate_selection_inputs[4] = B_type;
    	assign immediate_selection_inputs[5] = U_type_jump;
    	assign immediate_selection_inputs[6] = 0;
    	assign immediate_selection_inputs[7] = 0;
    
    	Encoder_8 inst_Encoder_8 (
    		.in(immediate_selection_inputs),
    		.out(immediate_selection)
    		);
    
    
    	ImmediateExtractor inst_ImmediateExtractor (
    		.instruction(instruction_execute_3), 
    		.selection(immediate_selection), 
    		.value(immediate_value)
    		);
    
    	// select the operation of alu
    	wire [15:0] alu_operation_encoder_inputs;
    	wire [3:0] alu_operation;
    
    	assign alu_operation_encoder_inputs[0] = R_add || I_addi;
    	assign alu_operation_encoder_inputs[1] = R_sub;
    	assign alu_operation_encoder_inputs[2] = R_and || I_andi;
    	assign alu_operation_encoder_inputs[3] = R_or || I_ori;
    	assign alu_operation_encoder_inputs[4] = R_xor || I_xori;
    	assign alu_operation_encoder_inputs[5] = R_sll || I_slli;
    	assign alu_operation_encoder_inputs[6] = R_srl || I_srli;
    	assign alu_operation_encoder_inputs[7] = R_sra || I_srai;
    	assign alu_operation_encoder_inputs[8] = R_slt || I_slti;
    	assign alu_operation_encoder_inputs[9] = R_sltu || I_sltiu;
    	assign alu_operation_encoder_inputs[10] = 0;
    	assign alu_operation_encoder_inputs[11] = 0;
    	assign alu_operation_encoder_inputs[12] = 0;
    	assign alu_operation_encoder_inputs[13] = 0;
    	assign alu_operation_encoder_inputs[14] = 0;
    	assign alu_operation_encoder_inputs[15] = 0;
    
    	Encoder_16 inst_Encoder_16 (
    		.in(alu_operation_encoder_inputs), 
    		.out(alu_operation)
    		);
    
    	// select the input of alu
    	wire [3:0] alu_source_1_selection_inputs;
    	wire [3:0] alu_source_2_selection_inputs;
    	wire [1:0] alu_source_1_selection;
    	wire [1:0] alu_source_2_selection;
    
    	assign alu_source_1_selection_inputs[0] = 1;
    	assign alu_source_1_selection_inputs[1] = B_type || U_type_jump || U_type_auipc || I_type_jump;
    	assign alu_source_1_selection_inputs[2] = U_type_load;
    	assign alu_source_1_selection_inputs[3] = 0;
    
    
    	assign alu_source_2_selection_inputs[0] = 1;
    	assign alu_source_2_selection_inputs[1] = S_type || I_type || B_type || U_type;
    	assign alu_source_2_selection_inputs[2] = 0;
    	assign alu_source_2_selection_inputs[3] = 0;
    
    	Encoder_4 inst_Encoder_4_1 (.in(alu_source_1_selection_inputs), .out(alu_source_1_selection));
    	Encoder_4 inst_Encoder_4_2 (.in(alu_source_2_selection_inputs), .out(alu_source_2_selection));
    
    	// implement alu
    	reg [31:0] alu_source_1;
    	reg [31:0] alu_source_2;
    	wire [31:0] alu_out;
    	wire is_equal;
    
    	ALU inst_ALU(
    			.reg_data_1 (alu_source_1),
    			.reg_data_2 (alu_source_2),
    			.opcode     (alu_operation),
    			.alu_result (alu_out),
    			.isEqual    (is_equal)
    		);
    
    	always @ (*) begin
    		case (alu_source_1_selection) 
    		    0: alu_source_1 = reg_source_1_data;
    		    1: alu_source_1 = pc_execute_3;
    		    default: alu_source_1 = 'b0;
    		endcase
    		case (alu_source_2_selection) 
    		    0: alu_source_2 = reg_source_2_data;
    		    1: alu_source_2 = immediate_value;
    		    default: alu_source_2 = 'b0;
    		endcase
    	end
    
    	// Register File
    
    	wire [6:0] opcode_writeback_5		= instruction_writeback_5[6:0];
    	wire writeback_R_type 				= opcode_writeback_5 == op_R_type;
    	wire writeback_I_type_load 			= opcode_writeback_5 == op_I_type_load;
    	wire writeback_I_type_other 		= opcode_writeback_5 == op_I_type_other;
    	wire writeback_I_type_jump 			= opcode_writeback_5 == op_I_type_jump;
    	wire writeback_I_type 				= writeback_I_type_load || writeback_I_type_other || writeback_I_type_jump;
    	wire writeback_U_type_load 			= opcode_writeback_5 == op_U_type_load;
    	wire writeback_U_type_jump 			= opcode_writeback_5 == op_U_type_jump;
    	wire writeback_U_type_auipc 		= opcode_writeback_5 == op_U_type_auipc;
    	wire writeback_U_type 				= writeback_U_type_jump || writeback_U_type_load || writeback_U_type_auipc;
    
    	wire reg_write_enable 			= writeback_R_type || writeback_I_type || writeback_U_type;
    
    
    	wire [3:0] reg_writeback_selection_inputs;
    	
    
    	assign reg_writeback_selection_inputs[0] = 0;
    	assign reg_writeback_selection_inputs[1] = writeback_R_type || writeback_U_type_load || writeback_I_type_other;
    	assign reg_writeback_selection_inputs[2] = writeback_U_type_jump || writeback_I_type_jump;
    	assign reg_writeback_selection_inputs[3] = writeback_I_type_load;
    
    	Encoder_4 writeback_selection_encoder(
    		.in(reg_writeback_selection_inputs),
    		.out(reg_writeback_selection)
    		);
    
    	// wire signed [31:0] reg_source_1_data_execute_3;
    	// wire signed [31:0] reg_source_2_data_execute_3;
    
    	wire [31:0] reg_writeback_data		= reg_writeback_selection == 3? ram_read_data_writeback_5: reg_write_data_writeback_5;
    
    	RegisterFile inst_RegisterFile(
    			.reg_source_1       (reg_source_1),
    			.reg_source_2       (reg_source_2),
    			.reg_destinate      (reg_destinate),
    			.reg_destinate_data (reg_writeback_data),
    			.write_enable       (reg_write_enable),
    			.reg_source_1_data  (reg_source_1_data_execute_3),
    			.reg_source_2_data  (reg_source_2_data_execute_3)
    		);
    
    	// pipelining
    	// Stage 1: Fetch instruction, the instruction come from rom
    	
    
    	always @ (posedge clk) begin
    		if (pc_alu_sel == 1) begin
    			pc <= alu_out[9:0];
    		end else begin
    			if (load_stall == 1 || control_hazard_stall == 1) begin
    				pc <= pc;
    			end else begin
    				pc <= pc + 4;
    			end
    		end
    
    		if (control_hazard_stall == 1) begin
    			reg_source_1_pipeline[decode]	<= 0;
    			reg_source_2_pipeline[decode]	<= 0;
    			reg_destinate_pipeline[decode]	<= 0;
    			type_pipeline[decode]			<= type_immediate;
    		end else begin
    			reg_source_1_pipeline[decode] 	<= instruction[19:15];
    			reg_source_2_pipeline[decode]	<= instruction[24:20];
    			reg_destinate_pipeline[decode]	<= instruction[11:7];
    
    			if (instruction[6:0] == op_R_type) begin
    				type_pipeline[decode]		<= type_register;
    			end else if (instruction[6:0] == op_I_type_load) begin
    				type_pipeline[decode]		<= type_load;
    			end else if (instruction[6:0] == op_S_type) begin
    				type_pipeline[decode]		<= type_store;
    			end else if (instruction[6:0] == op_I_type_other || instruction[6:0] == op_I_type_jump) begin
    				type_pipeline[decode]		<= type_immediate;
    			end else if (instruction[6:0] == op_B_type) begin
    				type_pipeline[decode]		<= type_branch;
    			end
    		end
    	end
    
    
    	// Stage 2: Decode and get the source reg 
    
    	
    
    	always @ (posedge clk) begin
    		if (load_stall) begin
    			instruction_deconde_2 <= instruction_deconde_2;
    			pc_decode_2 <= pc_decode_2;
    		end else if (control_hazard_stall) begin
    			instruction_deconde_2 <= 32'h00000013;
    			pc_decode_2 <= pc_decode_2;
    		end else begin
    			instruction_deconde_2 <= instruction;
    			pc_decode_2 <= pc;
    		end
    
    		if (instruction_deconde_2[6:0] == op_R_type) begin
    			type_pipeline[execute] <= type_register;
    		end else if (instruction_deconde_2[6:0] == op_I_type_load) begin
    			type_pipeline[execute] <= type_load;
    		end else if (instruction_deconde_2[6:0] == op_S_type) begin
    			type_pipeline[execute] <= type_store;
    		end else if (instruction_deconde_2[6:0] == op_I_type_other || instruction_deconde_2[6:0] == op_I_type_jump) begin
    			type_pipeline[execute] <= type_immediate;
    		end else if (instruction_deconde_2[6:0] == op_B_type[6:0]) begin
    			type_pipeline[execute] <= type_branch;
    		end
    
    		reg_source_1_pipeline[execute] <= instruction_deconde_2[19:15];
    		reg_source_2_pipeline[execute] <= instruction_deconde_2[24:20];
    		reg_destinate_pipeline[execute] <= instruction_deconde_2[11:7];
    
    		if (load_stall) begin
    		 	reg_source_1_pipeline[execute] <= 0;
    		 	reg_source_2_pipeline[execute] <= 0;
    		 	reg_destinate_pipeline[execute] <= 0;
    		 	type_pipeline[execute] <= type_immediate;
    		end 
    	end
    
    
    	// Stage 3: Execute
    
    	
    
    	always @ (posedge clk) begin
    		if (load_stall) begin
    			instruction_execute_3 <= 32'h00000013;
    			pc_execute_3 <= pc_execute_3;
    		end else begin
    			pc_execute_3 <= pc_decode_2;
    			instruction_execute_3 <= instruction_deconde_2;
    		end
    
    		if (instruction_execute_3[6:0] == op_R_type) begin
    			type_pipeline[memory] <= type_register;
    		end else if (instruction_execute_3[6:0] == op_I_type_load) begin
    			type_pipeline[memory] <= type_load;
    		end else if (instruction_execute_3[6:0] == op_S_type) begin
    			type_pipeline[memory] <= type_store;
    		end else if (instruction_execute_3[6:0] == op_I_type_other || instruction_execute_3[6:0] == op_I_type_jump) begin
    			type_pipeline[memory] <= type_immediate;
    		end else if (instruction_execute_3[6:0] == op_B_type[6:0]) begin
    			type_pipeline[memory] <= type_branch;
    		end
    
    		reg_source_1_pipeline[memory] <= instruction_execute_3[19:15];
    		reg_source_2_pipeline[memory] <= instruction_execute_3[24:20];
    		reg_destinate_pipeline[memory] <= instruction_execute_3[11:7];
    
    	end
    
    	// Stage 4: Memory
    
    	
    
    	always @ (posedge clk) begin
    		instruction_memory_4 <= instruction_execute_3;
    		pc_memory_4 <= pc_execute_3;
    
    		alu_out_memory_4 <= alu_out;
    		ram_write_data <= reg_source_2_data;
    
    		if (instruction_memory_4[6:0] == op_R_type) begin
    			type_pipeline[writeback] <= type_register;
    		end else if (instruction_memory_4[6:0] == op_I_type_load) begin
    			type_pipeline[writeback] <= type_load;
    		end else if (instruction_memory_4[6:0] == op_S_type) begin
    			type_pipeline[writeback] <= type_store;
    		end else if (instruction_memory_4[6:0] == op_I_type_other || instruction_memory_4[6:0] == op_I_type_jump) begin
    			type_pipeline[writeback] <= type_immediate;
    		end else if (instruction_memory_4[6:0] == op_B_type[6:0]) begin
    			type_pipeline[writeback] <= type_branch;
    		end
    
    		reg_source_1_pipeline[writeback] <= instruction_memory_4[19:15];
    		reg_source_2_pipeline[writeback] <= instruction_memory_4[24:20];
    		reg_destinate_pipeline[writeback] <= instruction_memory_4[11:7];
    
    	end
    
    	// Stage 5: writeback
    
    	
    
    	always @ (posedge clk) begin
    		instruction_writeback_5 <= instruction_memory_4;
    		ram_read_data_writeback_5 <= ram_read_data;
    
    		case (reg_writeback_selection) 
    		    1:
    		        begin
    		            reg_write_data_writeback_5 <= alu_out_memory_4;
    		        end
    		    2:
    		        begin
    		            reg_write_data_writeback_5 <= pc_memory_4 + 4;
    		        end
    		endcase
    	end
    	
    endmodule

2.3.5 ALU

2.3.5.1 接口信号
信号名 端口方向 信号类型 信号宽度 信号功能
reg_data_1 input wire 32 操作数1
reg_data_2 input wire 32 操作数2
opcode input wire 4 编码后的操作码,用于指示是实现什么操作
alu_result output reg 32 用于存储计算后的结果
isEqual output wire 1 若为1,则两个操作数相等
2.3.5.2 内部实现
  • 内部信号

    信号名 信号类型 信号宽度 信号功能
    reg_data_1_signed wire signed 32 存储符号扩展后的操作数1,未实现
    reg_data_2_signed wire signed 32 存储符号扩展后的操作数2,未实现
  • 具体实现

    • ALU为组合逻辑,其输出随输入变化
    module ALU (
    	input [31:0] reg_data_1,
    	input [31:0] reg_data_2,
    
    	input [3:0] opcode,
    
    	output reg [31:0] alu_result,
    	output isEqual
    
    );
    	wire signed [31:0] reg_data_1_signed;
    	wire signed [31:0] reg_data_2_signed;
    
    	assign isEqual = reg_data_1_signed == reg_data_2_signed;
    
    	always @ (*) begin
    		case (opcode) 
    		    0: alu_result = reg_data_1 + reg_data_2;
    		    1: alu_result = reg_data_1 - reg_data_2;
    		    2: alu_result = reg_data_1 & reg_data_2;
    		    3: alu_result = reg_data_1 | reg_data_2;
    		    4: alu_result = reg_data_1 ^ reg_data_2;
    		    5: alu_result = reg_data_1 << reg_data_2;
    		    6: alu_result = reg_data_1 >> reg_data_2;
    		    7: alu_result = reg_data_1_signed >>> reg_data_2;
    		    8: alu_result = (reg_data_1_signed < reg_data_2_signed )? 1: 0;
    		    9: alu_result = (reg_data_1 < reg_data_2 )? 1: 0;
    		    default: alu_result = 'b0;  
    		endcase
    	end
    endmodule

2.3.6 Encoders

2.3.6.1 接口信号
信号名 端口方向 信号类型 信号宽度 信号功能
in input wire 4 or 8 or 16 需要编码的信号
out output reg 2 or 3 or 4 编码后的结果
2.3.6.2 内部实现
  • 内部信号

    信号名 信号类型 信号宽度 信号功能
  • 具体实现

    module Encoder_4 (
    	input [3:0] in,
    	output reg [1:0] out
    );
    
    	// initial begin
    	// 	out <= 'b0;
    	// end
    
    
    	always @ (*) begin
    		casex (in) 
    			4'b1xxx: out = 3;
    			4'b01xx: out = 2;
    			4'b001x: out = 1;
    			4'b0001: out = 0;
    			4'b0000: out = 0;
    		endcase
    	end
    endmodule
    
    module Encoder_8 (
    	input [7:0] in,
    	output reg [2:0] out
    );
    
    	// initial begin
    	// 	out <= 'b0;
    	// end
    
    
    	always @ (*) begin
    		casex (in) 
    			8'b1xxxxxxx: out = 7;
    			8'b01xxxxxx: out = 6;
    			8'b001xxxxx: out = 5;
    			8'b0001xxxx: out = 4;
    			8'b00001xxx: out = 3;
    			8'b000001xx: out = 2;
    			8'b0000001x: out = 1;
    			8'b00000001: out = 0;
    		endcase
    	end
    endmodule
    
    module Encoder_16 (
    	input [15:0] in,
    	output reg [3:0] out
    );
    
    	// initial begin
    	// 	out <= 'b0;
    	// end
    
    
    	always @ (*) begin
    		casex (in) 
    			16'b1xxxxxxxxxxxxxxx: out = 15;
    			16'b01xxxxxxxxxxxxxx: out = 14;
    			16'b001xxxxxxxxxxxxx: out = 13;
    			16'b0001xxxxxxxxxxxx: out = 12;
    			16'b00001xxxxxxxxxxx: out = 11;
    			16'b000001xxxxxxxxxx: out = 10;
    			16'b0000001xxxxxxxxx: out = 9;
    			16'b00000001xxxxxxxx: out = 8;
    			16'b000000001xxxxxxx: out = 7;
    			16'b0000000001xxxxxx: out = 6;
    			16'b00000000001xxxxx: out = 5;
    			16'b000000000001xxxx: out = 4;
    			16'b0000000000001xxx: out = 3;
    			16'b00000000000001xx: out = 2;
    			16'b000000000000001x: out = 1;
    			16'b0000000000000001: out = 0;
    		endcase
    	end
    endmodule

2.3.7 ImmediateExtractor

2.3.7.1 接口信号
信号名 端口方向 信号类型 信号宽度 信号功能
instruction input wire 32 将指令传入,用于提取立即数
selection input wire 3 用于选择解压方式,由指令类型决定
value output reg signed 32 输出立即数
2.3.7.2 内部实现
  • 内部信号

    信号名 信号类型 信号宽度 信号功能
    imm_11_0 wire 12 instruction[31:20],R-type immediate value
    imm_31_12 wire 20 instruction[31:12],U-type immediate value,低12位填0
    imm_4_0 wire 5 instruction[4:0],Part of S-type immediate value
    imm_11_5 wire 7 instruction[31:25],Part of S-type immediate value
    imm_11_B wire 1 instruction[11],Part of B-type immediate value
    imm_4_1 wire 4 instruction[10:7],Part of B-type immediate value
    imm_10_5 wire 6 instruction[30:25],Part of B-type immediate value
    imm_12 wire 1 instruction[31],Part of B-type immediate value
    imm_19_12 wire 8 instruction[19:12],Part of J-type immediate value
    imm_11_J wire 1 instruction[20],Part of J-type immediate value
    imm_10_1 wire 10 instruction[30:21],Part of J-type immediate value
    imm_20 wire 1 instruction[31],Part of J-type immediate value
    imm_I wire signed 32 Store I-type immediate value
    imm_U wire signed 32 Store U-type immediate value
    imm_B wire signed 32 Store B-type immediate value
    imm_S wire signed 32 Store S-type immediate value
    imm_J wire signed 32 Store J-type immediate value
  • 具体实现

    • 本模块实现立即数的提取,根据Risc-V的RV32I指令集中规定的立即数分布情况(6中类型指令中有5种包括立即数),将立即数提取,用于计算
    module ImmediateExtractor (
    	input [31:0] instruction,
    	input [2:0] selection,
    	output reg signed [31:0] value
    );
    
    	initial begin
    		value <= 'b0;
    	end
    
    	wire [11:0] imm_11_0	= instruction[31:20];
    	wire [19:0] imm_31_12	= instruction[31:12];
    	wire [4:0] imm_4_0		= instruction[11:7];
    	wire [6:0] imm_11_5		= instruction[31:25];
    	wire imm_11_B			= instruction[7];
    	wire [3:0] imm_4_1		= instruction[11:8];
    	wire [5:0] imm_10_5		= instruction[30:25];
    	wire imm_12 			= instruction[31];
    	wire [7:0] imm_19_12	= instruction[19:12];
    	wire imm_11_J			= instruction[20];
    	wire [9:0] imm_10_1		= instruction[30:21];
    	wire imm_20				= instruction[31];
    
    	wire signed [31:0] imm_I		= { {32{imm_11_0[11]} }, imm_11_0};
    	wire signed [31:0] imm_U		= { {32{imm_31_12[19]} }, imm_31_12, 12'h000};
    	wire signed [31:0] imm_B 		= { {32{imm_12}}, imm_11_B, imm_10_5, imm_4_1, 1'b0};
    	wire signed [31:0] imm_S 		= { {32{imm_11_5[6]}}, imm_11_5, imm_4_0};
    	wire signed [31:0] imm_J		= { {32{imm_20}}, imm_19_12, imm_11_J, imm_10_1, 1'b0};
    
    	always @ (*) begin
    		case(selection)
    			1: value = imm_I;
    			2: value = imm_U;
    			3: value = imm_S;
    			4: value = imm_B;
    			5: value = imm_J;
    			default: value = 0;
    		endcase
    	end
    endmodule

2.3.8 RegisterFile

2.3.8.1 接口信号
信号名 端口方向 信号类型 信号宽度 信号功能
reg_source_1 input wire 5 rs1的寄存器号
reg_source_2 input wire 5 rs2的寄存器号
reg_destinate input wire 5 rd的寄存器号
reg_destinate_data input wire 32 用于存储到目的寄存器中的数据
write_enable input wire 1 写使能信号,若为1,则写数据到目的寄存器
reg_souce_1_data output wire 32 reg[rs1]
reg_souce_2_data output wire 32 reg[rs2]
2.3.8.2 内部实现
  • 内部信号

    信号名 信号类型 信号宽度 信号功能
    registers reg 32 x 32 32个整数寄存器,均为32位
  • 具体实现

    • 本模块实现32个整数寄存器,x0寄存器时刻为0,不可修改,其他寄存器依据情况修改
    module RegisterFile (
    	input [4:0] reg_source_1,
    	input [4:0] reg_source_2,
    	input [4:0] reg_destinate,
    	input [31:0] reg_destinate_data,
    	input write_enable,
    
    	output [31:0] reg_source_1_data,
    	output [31:0] reg_source_2_data
    );
    
    	// reg [31:0] registers[31:0];
    	reg [31:0] registers[31:0];
    	integer i;
    
    	initial begin
    		registers[0] <= 0;
    		for (i = 1; i <= 32; i = i + 1)
    		    begin
                    registers[i] <= 0;
    		    end
    	end
    
    	assign reg_source_1_data = registers[reg_source_1];
    	assign reg_source_2_data = registers[reg_source_2];
    
    	always @ (*) begin
    		if (write_enable == 1 && reg_destinate != 0) begin
    			registers[reg_destinate] = reg_destinate_data;
    		end
    	end
    	
    
    endmodule

2.4 冲突解决

2.4.1 Data Hazard

  • 数据冒险包括RAW,WAW,WAR三种,本项目开发的CPU为顺序流出顺序结束的流水线CPU,不存在WAW和WAR,只需要解决RAW,即写后读。

  • 写后读包括三种情况

    • 数据在执行段和访存段之间的段寄存器还未写回
    • 数据在访存段和写回段之间的段寄存器还未写回
    • 数据由load提供,且load还未到达访存段
  • 本项目使用旁路和阻塞解决上述三种情况,通过专门设定检测信号来控制数据的传输,检测代码如下:

    assign data_dependence_hazard_r1 			= reg_source_1_pipeline[execute] != 0 
        && type_pipeline[execute] != type_upperImmediate
        && reg_source_1_pipeline[execute] == reg_destinate_pipeline[memory];
    
    assign data_dependence_hazard_r2 			= reg_source_2_pipeline[execute] != 0
        && type_pipeline[execute] != type_upperImmediate
        && type_pipeline[execute] != type_immediate
        && reg_source_2_pipeline[execute] == reg_destinate_pipeline[memory];
    
    assign data_dependence_hazard_r1_writeback 			= reg_source_1_pipeline[execute] != 0
        && type_pipeline[execute] != type_upperImmediate
        && reg_source_1_pipeline[execute] == reg_destinate_pipeline[writeback];
    
    assign data_dependence_hazard_r2_writeback 			= reg_source_2_pipeline[execute] != 0
        && type_pipeline[execute] != type_upperImmediate
        && type_pipeline[execute] != type_immediate
        && reg_source_2_pipeline[execute] == reg_destinate_pipeline[writeback];
    assign load_stall 						= type_pipeline[execute] == type_load
        && (
            (
                type_pipeline[decode] != type_upperImmediate
                && type_pipeline[decode] != type_immediate
                && (
                    (reg_source_1_pipeline[decode] != 0 && reg_source_1_pipeline[decode] == reg_destinate_pipeline[execute])
                    || (reg_source_2_pipeline[decode] != 0 && reg_source_2_pipeline[decode] == reg_destinate_pipeline[execute])
                )
            )
            || (
                type_pipeline[decode] == type_immediate
                && reg_source_1_pipeline[decode] != 0
                && reg_source_1_pipeline[decode] == reg_destinate_pipeline[execute]
            )
        );
  • 检测信号说明

    • 若执行段的指令的操作数1(或2)的寄存器号同访存段的目的寄存器号相同,说明存在第一种情况,置data_dependence_hazard_r1(或data_dependence_hazard_r2)为1(需要排除使用x0和指令类型中没有对应字段的情况),否则为0
    • 若执行段的指令的操作数1(或2)的寄存器号同写回段的目的寄存器号相同,说明存在第二种情况,置data_dependence_hazard_r1_writeback(或data_dependence_hazard_r2_writeback)为1(需要排除使用x0和指令类型中没有对应字段的情况),否则为0
    • 若处于译码段的指令需要正处于执行段的Load指令的数据,说明存在第三种情况,置load_stall为1,否则为0
  • 旁路实现说明

    • 旁路的实现方法,是通过上述的检测信号判断ALU源操作数的来源,实现如下

      wire signed [31:0] reg_source_1_data = data_dependence_hazard_r1 ? alu_out_memory_4: 
      data_dependence_hazard_r1_writeback?
      (reg_writeback_selection == 3? ram_read_data_writeback_5: reg_write_data_writeback_5)
      : reg_source_1_data_execute_3;
      
      wire signed [31:0] reg_source_2_data = data_dependence_hazard_r2 ? alu_out_memory_4: 
      data_dependence_hazard_r2_writeback?
      (reg_writeback_selection == 3? ram_read_data_writeback_5: reg_write_data_writeback_5)
      : reg_source_2_data_execute_3;
    • 以对源操作数1的数据来源判断为例

      • 若data_dependence_hazard_r1为1,说明此时需要的操作数位于EXE/MEM段寄存器,根据RISC-V的指令设置,即ALU计算结果,直接转发alu_out_memory_4即可。
      • 若data_dependence_hazard_r1为0,data_dependence_hazard_r1_writeback为1说明需要的操作数位于EXE/MEM段寄存器,为需要写回寄存器的数据。此时该数据有三种情况,一是RAM读出的数据(load),二是ALU计算结果,三是PC+4(jalr)。可以通过判断此时处于WB段的指令类型,判断转发内容。
      • 若data_dependence_hazard_r1和data_dependence_hazard_r1_writeback均为0,说明数据来自寄存器文件
  • 阻塞实现说明

    • 在处理Load后直接使用Load数据的情况时,因为Load需要在Memory段获取数据,因此,需要等待一个时钟周期,等待从RAM中取出数据并转发后再进入EXE段执行。

2.4.2 Control Hazard

  • 本项目中解决控制相关的方式是阻塞,当B-type指令进入ID段时,使流水线停止,等待分支结果出现后再继续取指执行。检测分支的信号如下:

    assign control_hazard_stall 			= instruction_deconde_2[6:0] == op_B_type || instruction_execute_3[6:0] == op_B_type;
    • 即若译码段或执行段指令为分支指令,则control_hazard_stall为1。

3. 功能验证

3.1 测试程序

       nop
    start:
        addi x1, x0, 1
        addi x6, x0, 1
        addi x12, x0, 4
        sw   x6, 0(x0)

    loop:
        lw x6, 0(x0)
        addi x6, x6, 1
        sw x6, 0(x0)
        blt  x6, x12, -12

    finish:
        nop
  • 通过分析测试程序可知,前述所有情况均存在。
    • 4和6行存在RAW的第二种情况
    • 9和10行存在RAW的第三种情况
    • 10和11行存在RAW的第一种情况
    • blt为分支指令,存在控制冒险

3.2 数据冒险解决情况仿真

  • 4和6行存在RAW的第二种情况

    46

  • 9和10行存在RAW的第三种情况

    910

  • 10和11行存在RAW的第一种情况

    1011

3.3 控制冒险解决请款

  • blt为分支指令,存在控制冒险

    branch

GNU GENERAL PUBLIC LICENSE Version 3, 29 June 2007 Copyright (C) 2007 Free Software Foundation, Inc. <http://fsf.org/> Everyone is permitted to copy and distribute verbatim copies of this license document, but changing it is not allowed. Preamble The GNU General Public License is a free, copyleft license for software and other kinds of works. The licenses for most software and other practical works are designed to take away your freedom to share and change the works. By contrast, the GNU General Public License is intended to guarantee your freedom to share and change all versions of a program--to make sure it remains free software for all its users. We, the Free Software Foundation, use the GNU General Public License for most of our software; it applies also to any other work released this way by its authors. You can apply it to your programs, too. When we speak of free software, we are referring to freedom, not price. Our General Public Licenses are designed to make sure that you have the freedom to distribute copies of free software (and charge for them if you wish), that you receive source code or can get it if you want it, that you can change the software or use pieces of it in new free programs, and that you know you can do these things. To protect your rights, we need to prevent others from denying you these rights or asking you to surrender the rights. Therefore, you have certain responsibilities if you distribute copies of the software, or if you modify it: responsibilities to respect the freedom of others. For example, if you distribute copies of such a program, whether gratis or for a fee, you must pass on to the recipients the same freedoms that you received. You must make sure that they, too, receive or can get the source code. And you must show them these terms so they know their rights. Developers that use the GNU GPL protect your rights with two steps: (1) assert copyright on the software, and (2) offer you this License giving you legal permission to copy, distribute and/or modify it. For the developers' and authors' protection, the GPL clearly explains that there is no warranty for this free software. For both users' and authors' sake, the GPL requires that modified versions be marked as changed, so that their problems will not be attributed erroneously to authors of previous versions. Some devices are designed to deny users access to install or run modified versions of the software inside them, although the manufacturer can do so. This is fundamentally incompatible with the aim of protecting users' freedom to change the software. The systematic pattern of such abuse occurs in the area of products for individuals to use, which is precisely where it is most unacceptable. Therefore, we have designed this version of the GPL to prohibit the practice for those products. If such problems arise substantially in other domains, we stand ready to extend this provision to those domains in future versions of the GPL, as needed to protect the freedom of users. Finally, every program is threatened constantly by software patents. States should not allow patents to restrict development and use of software on general-purpose computers, but in those that do, we wish to avoid the special danger that patents applied to a free program could make it effectively proprietary. To prevent this, the GPL assures that patents cannot be used to render the program non-free. The precise terms and conditions for copying, distribution and modification follow. TERMS AND CONDITIONS 0. Definitions. "This License" refers to version 3 of the GNU General Public License. "Copyright" also means copyright-like laws that apply to other kinds of works, such as semiconductor masks. "The Program" refers to any copyrightable work licensed under this License. Each licensee is addressed as "you". "Licensees" and "recipients" may be individuals or organizations. To "modify" a work means to copy from or adapt all or part of the work in a fashion requiring copyright permission, other than the making of an exact copy. The resulting work is called a "modified version" of the earlier work or a work "based on" the earlier work. A "covered work" means either the unmodified Program or a work based on the Program. To "propagate" a work means to do anything with it that, without permission, would make you directly or secondarily liable for infringement under applicable copyright law, except executing it on a computer or modifying a private copy. Propagation includes copying, distribution (with or without modification), making available to the public, and in some countries other activities as well. To "convey" a work means any kind of propagation that enables other parties to make or receive copies. Mere interaction with a user through a computer network, with no transfer of a copy, is not conveying. An interactive user interface displays "Appropriate Legal Notices" to the extent that it includes a convenient and prominently visible feature that (1) displays an appropriate copyright notice, and (2) tells the user that there is no warranty for the work (except to the extent that warranties are provided), that licensees may convey the work under this License, and how to view a copy of this License. If the interface presents a list of user commands or options, such as a menu, a prominent item in the list meets this criterion. 1. Source Code. The "source code" for a work means the preferred form of the work for making modifications to it. "Object code" means any non-source form of a work. A "Standard Interface" means an interface that either is an official standard defined by a recognized standards body, or, in the case of interfaces specified for a particular programming language, one that is widely used among developers working in that language. The "System Libraries" of an executable work include anything, other than the work as a whole, that (a) is included in the normal form of packaging a Major Component, but which is not part of that Major Component, and (b) serves only to enable use of the work with that Major Component, or to implement a Standard Interface for which an implementation is available to the public in source code form. A "Major Component", in this context, means a major essential component (kernel, window system, and so on) of the specific operating system (if any) on which the executable work runs, or a compiler used to produce the work, or an object code interpreter used to run it. The "Corresponding Source" for a work in object code form means all the source code needed to generate, install, and (for an executable work) run the object code and to modify the work, including scripts to control those activities. However, it does not include the work's System Libraries, or general-purpose tools or generally available free programs which are used unmodified in performing those activities but which are not part of the work. For example, Corresponding Source includes interface definition files associated with source files for the work, and the source code for shared libraries and dynamically linked subprograms that the work is specifically designed to require, such as by intimate data communication or control flow between those subprograms and other parts of the work. The Corresponding Source need not include anything that users can regenerate automatically from other parts of the Corresponding Source. The Corresponding Source for a work in source code form is that same work. 2. Basic Permissions. All rights granted under this License are granted for the term of copyright on the Program, and are irrevocable provided the stated conditions are met. This License explicitly affirms your unlimited permission to run the unmodified Program. The output from running a covered work is covered by this License only if the output, given its content, constitutes a covered work. This License acknowledges your rights of fair use or other equivalent, as provided by copyright law. You may make, run and propagate covered works that you do not convey, without conditions so long as your license otherwise remains in force. You may convey covered works to others for the sole purpose of having them make modifications exclusively for you, or provide you with facilities for running those works, provided that you comply with the terms of this License in conveying all material for which you do not control copyright. Those thus making or running the covered works for you must do so exclusively on your behalf, under your direction and control, on terms that prohibit them from making any copies of your copyrighted material outside their relationship with you. Conveying under any other circumstances is permitted solely under the conditions stated below. Sublicensing is not allowed; section 10 makes it unnecessary. 3. Protecting Users' Legal Rights From Anti-Circumvention Law. No covered work shall be deemed part of an effective technological measure under any applicable law fulfilling obligations under article 11 of the WIPO copyright treaty adopted on 20 December 1996, or similar laws prohibiting or restricting circumvention of such measures. When you convey a covered work, you waive any legal power to forbid circumvention of technological measures to the extent such circumvention is effected by exercising rights under this License with respect to the covered work, and you disclaim any intention to limit operation or modification of the work as a means of enforcing, against the work's users, your or third parties' legal rights to forbid circumvention of technological measures. 4. Conveying Verbatim Copies. You may convey verbatim copies of the Program's source code as you receive it, in any medium, provided that you conspicuously and appropriately publish on each copy an appropriate copyright notice; keep intact all notices stating that this License and any non-permissive terms added in accord with section 7 apply to the code; keep intact all notices of the absence of any warranty; and give all recipients a copy of this License along with the Program. You may charge any price or no price for each copy that you convey, and you may offer support or warranty protection for a fee. 5. Conveying Modified Source Versions. You may convey a work based on the Program, or the modifications to produce it from the Program, in the form of source code under the terms of section 4, provided that you also meet all of these conditions: a) The work must carry prominent notices stating that you modified it, and giving a relevant date. b) The work must carry prominent notices stating that it is released under this License and any conditions added under section 7. This requirement modifies the requirement in section 4 to "keep intact all notices". c) You must license the entire work, as a whole, under this License to anyone who comes into possession of a copy. This License will therefore apply, along with any applicable section 7 additional terms, to the whole of the work, and all its parts, regardless of how they are packaged. This License gives no permission to license the work in any other way, but it does not invalidate such permission if you have separately received it. d) If the work has interactive user interfaces, each must display Appropriate Legal Notices; however, if the Program has interactive interfaces that do not display Appropriate Legal Notices, your work need not make them do so. A compilation of a covered work with other separate and independent works, which are not by their nature extensions of the covered work, and which are not combined with it such as to form a larger program, in or on a volume of a storage or distribution medium, is called an "aggregate" if the compilation and its resulting copyright are not used to limit the access or legal rights of the compilation's users beyond what the individual works permit. Inclusion of a covered work in an aggregate does not cause this License to apply to the other parts of the aggregate. 6. Conveying Non-Source Forms. You may convey a covered work in object code form under the terms of sections 4 and 5, provided that you also convey the machine-readable Corresponding Source under the terms of this License, in one of these ways: a) Convey the object code in, or embodied in, a physical product (including a physical distribution medium), accompanied by the Corresponding Source fixed on a durable physical medium customarily used for software interchange. b) Convey the object code in, or embodied in, a physical product (including a physical distribution medium), accompanied by a written offer, valid for at least three years and valid for as long as you offer spare parts or customer support for that product model, to give anyone who possesses the object code either (1) a copy of the Corresponding Source for all the software in the product that is covered by this License, on a durable physical medium customarily used for software interchange, for a price no more than your reasonable cost of physically performing this conveying of source, or (2) access to copy the Corresponding Source from a network server at no charge. c) Convey individual copies of the object code with a copy of the written offer to provide the Corresponding Source. This alternative is allowed only occasionally and noncommercially, and only if you received the object code with such an offer, in accord with subsection 6b. d) Convey the object code by offering access from a designated place (gratis or for a charge), and offer equivalent access to the Corresponding Source in the same way through the same place at no further charge. You need not require recipients to copy the Corresponding Source along with the object code. If the place to copy the object code is a network server, the Corresponding Source may be on a different server (operated by you or a third party) that supports equivalent copying facilities, provided you maintain clear directions next to the object code saying where to find the Corresponding Source. Regardless of what server hosts the Corresponding Source, you remain obligated to ensure that it is available for as long as needed to satisfy these requirements. e) Convey the object code using peer-to-peer transmission, provided you inform other peers where the object code and Corresponding Source of the work are being offered to the general public at no charge under subsection 6d. A separable portion of the object code, whose source code is excluded from the Corresponding Source as a System Library, need not be included in conveying the object code work. A "User Product" is either (1) a "consumer product", which means any tangible personal property which is normally used for personal, family, or household purposes, or (2) anything designed or sold for incorporation into a dwelling. In determining whether a product is a consumer product, doubtful cases shall be resolved in favor of coverage. For a particular product received by a particular user, "normally used" refers to a typical or common use of that class of product, regardless of the status of the particular user or of the way in which the particular user actually uses, or expects or is expected to use, the product. A product is a consumer product regardless of whether the product has substantial commercial, industrial or non-consumer uses, unless such uses represent the only significant mode of use of the product. "Installation Information" for a User Product means any methods, procedures, authorization keys, or other information required to install and execute modified versions of a covered work in that User Product from a modified version of its Corresponding Source. The information must suffice to ensure that the continued functioning of the modified object code is in no case prevented or interfered with solely because modification has been made. If you convey an object code work under this section in, or with, or specifically for use in, a User Product, and the conveying occurs as part of a transaction in which the right of possession and use of the User Product is transferred to the recipient in perpetuity or for a fixed term (regardless of how the transaction is characterized), the Corresponding Source conveyed under this section must be accompanied by the Installation Information. But this requirement does not apply if neither you nor any third party retains the ability to install modified object code on the User Product (for example, the work has been installed in ROM). The requirement to provide Installation Information does not include a requirement to continue to provide support service, warranty, or updates for a work that has been modified or installed by the recipient, or for the User Product in which it has been modified or installed. Access to a network may be denied when the modification itself materially and adversely affects the operation of the network or violates the rules and protocols for communication across the network. Corresponding Source conveyed, and Installation Information provided, in accord with this section must be in a format that is publicly documented (and with an implementation available to the public in source code form), and must require no special password or key for unpacking, reading or copying. 7. Additional Terms. "Additional permissions" are terms that supplement the terms of this License by making exceptions from one or more of its conditions. Additional permissions that are applicable to the entire Program shall be treated as though they were included in this License, to the extent that they are valid under applicable law. If additional permissions apply only to part of the Program, that part may be used separately under those permissions, but the entire Program remains governed by this License without regard to the additional permissions. When you convey a copy of a covered work, you may at your option remove any additional permissions from that copy, or from any part of it. (Additional permissions may be written to require their own removal in certain cases when you modify the work.) You may place additional permissions on material, added by you to a covered work, for which you have or can give appropriate copyright permission. Notwithstanding any other provision of this License, for material you add to a covered work, you may (if authorized by the copyright holders of that material) supplement the terms of this License with terms: a) Disclaiming warranty or limiting liability differently from the terms of sections 15 and 16 of this License; or b) Requiring preservation of specified reasonable legal notices or author attributions in that material or in the Appropriate Legal Notices displayed by works containing it; or c) Prohibiting misrepresentation of the origin of that material, or requiring that modified versions of such material be marked in reasonable ways as different from the original version; or d) Limiting the use for publicity purposes of names of licensors or authors of the material; or e) Declining to grant rights under trademark law for use of some trade names, trademarks, or service marks; or f) Requiring indemnification of licensors and authors of that material by anyone who conveys the material (or modified versions of it) with contractual assumptions of liability to the recipient, for any liability that these contractual assumptions directly impose on those licensors and authors. All other non-permissive additional terms are considered "further restrictions" within the meaning of section 10. If the Program as you received it, or any part of it, contains a notice stating that it is governed by this License along with a term that is a further restriction, you may remove that term. If a license document contains a further restriction but permits relicensing or conveying under this License, you may add to a covered work material governed by the terms of that license document, provided that the further restriction does not survive such relicensing or conveying. If you add terms to a covered work in accord with this section, you must place, in the relevant source files, a statement of the additional terms that apply to those files, or a notice indicating where to find the applicable terms. Additional terms, permissive or non-permissive, may be stated in the form of a separately written license, or stated as exceptions; the above requirements apply either way. 8. Termination. You may not propagate or modify a covered work except as expressly provided under this License. Any attempt otherwise to propagate or modify it is void, and will automatically terminate your rights under this License (including any patent licenses granted under the third paragraph of section 11). However, if you cease all violation of this License, then your license from a particular copyright holder is reinstated (a) provisionally, unless and until the copyright holder explicitly and finally terminates your license, and (b) permanently, if the copyright holder fails to notify you of the violation by some reasonable means prior to 60 days after the cessation. Moreover, your license from a particular copyright holder is reinstated permanently if the copyright holder notifies you of the violation by some reasonable means, this is the first time you have received notice of violation of this License (for any work) from that copyright holder, and you cure the violation prior to 30 days after your receipt of the notice. Termination of your rights under this section does not terminate the licenses of parties who have received copies or rights from you under this License. If your rights have been terminated and not permanently reinstated, you do not qualify to receive new licenses for the same material under section 10. 9. Acceptance Not Required for Having Copies. You are not required to accept this License in order to receive or run a copy of the Program. Ancillary propagation of a covered work occurring solely as a consequence of using peer-to-peer transmission to receive a copy likewise does not require acceptance. However, nothing other than this License grants you permission to propagate or modify any covered work. These actions infringe copyright if you do not accept this License. Therefore, by modifying or propagating a covered work, you indicate your acceptance of this License to do so. 10. Automatic Licensing of Downstream Recipients. Each time you convey a covered work, the recipient automatically receives a license from the original licensors, to run, modify and propagate that work, subject to this License. You are not responsible for enforcing compliance by third parties with this License. An "entity transaction" is a transaction transferring control of an organization, or substantially all assets of one, or subdividing an organization, or merging organizations. If propagation of a covered work results from an entity transaction, each party to that transaction who receives a copy of the work also receives whatever licenses to the work the party's predecessor in interest had or could give under the previous paragraph, plus a right to possession of the Corresponding Source of the work from the predecessor in interest, if the predecessor has it or can get it with reasonable efforts. You may not impose any further restrictions on the exercise of the rights granted or affirmed under this License. For example, you may not impose a license fee, royalty, or other charge for exercise of rights granted under this License, and you may not initiate litigation (including a cross-claim or counterclaim in a lawsuit) alleging that any patent claim is infringed by making, using, selling, offering for sale, or importing the Program or any portion of it. 11. Patents. A "contributor" is a copyright holder who authorizes use under this License of the Program or a work on which the Program is based. The work thus licensed is called the contributor's "contributor version". A contributor's "essential patent claims" are all patent claims owned or controlled by the contributor, whether already acquired or hereafter acquired, that would be infringed by some manner, permitted by this License, of making, using, or selling its contributor version, but do not include claims that would be infringed only as a consequence of further modification of the contributor version. For purposes of this definition, "control" includes the right to grant patent sublicenses in a manner consistent with the requirements of this License. Each contributor grants you a non-exclusive, worldwide, royalty-free patent license under the contributor's essential patent claims, to make, use, sell, offer for sale, import and otherwise run, modify and propagate the contents of its contributor version. In the following three paragraphs, a "patent license" is any express agreement or commitment, however denominated, not to enforce a patent (such as an express permission to practice a patent or covenant not to sue for patent infringement). To "grant" such a patent license to a party means to make such an agreement or commitment not to enforce a patent against the party. If you convey a covered work, knowingly relying on a patent license, and the Corresponding Source of the work is not available for anyone to copy, free of charge and under the terms of this License, through a publicly available network server or other readily accessible means, then you must either (1) cause the Corresponding Source to be so available, or (2) arrange to deprive yourself of the benefit of the patent license for this particular work, or (3) arrange, in a manner consistent with the requirements of this License, to extend the patent license to downstream recipients. "Knowingly relying" means you have actual knowledge that, but for the patent license, your conveying the covered work in a country, or your recipient's use of the covered work in a country, would infringe one or more identifiable patents in that country that you have reason to believe are valid. If, pursuant to or in connection with a single transaction or arrangement, you convey, or propagate by procuring conveyance of, a covered work, and grant a patent license to some of the parties receiving the covered work authorizing them to use, propagate, modify or convey a specific copy of the covered work, then the patent license you grant is automatically extended to all recipients of the covered work and works based on it. A patent license is "discriminatory" if it does not include within the scope of its coverage, prohibits the exercise of, or is conditioned on the non-exercise of one or more of the rights that are specifically granted under this License. You may not convey a covered work if you are a party to an arrangement with a third party that is in the business of distributing software, under which you make payment to the third party based on the extent of your activity of conveying the work, and under which the third party grants, to any of the parties who would receive the covered work from you, a discriminatory patent license (a) in connection with copies of the covered work conveyed by you (or copies made from those copies), or (b) primarily for and in connection with specific products or compilations that contain the covered work, unless you entered into that arrangement, or that patent license was granted, prior to 28 March 2007. Nothing in this License shall be construed as excluding or limiting any implied license or other defenses to infringement that may otherwise be available to you under applicable patent law. 12. No Surrender of Others' Freedom. If conditions are imposed on you (whether by court order, agreement or otherwise) that contradict the conditions of this License, they do not excuse you from the conditions of this License. If you cannot convey a covered work so as to satisfy simultaneously your obligations under this License and any other pertinent obligations, then as a consequence you may not convey it at all. For example, if you agree to terms that obligate you to collect a royalty for further conveying from those to whom you convey the Program, the only way you could satisfy both those terms and this License would be to refrain entirely from conveying the Program. 13. Use with the GNU Affero General Public License. Notwithstanding any other provision of this License, you have permission to link or combine any covered work with a work licensed under version 3 of the GNU Affero General Public License into a single combined work, and to convey the resulting work. The terms of this License will continue to apply to the part which is the covered work, but the special requirements of the GNU Affero General Public License, section 13, concerning interaction through a network will apply to the combination as such. 14. Revised Versions of this License. The Free Software Foundation may publish revised and/or new versions of the GNU General Public License from time to time. Such new versions will be similar in spirit to the present version, but may differ in detail to address new problems or concerns. Each version is given a distinguishing version number. If the Program specifies that a certain numbered version of the GNU General Public License "or any later version" applies to it, you have the option of following the terms and conditions either of that numbered version or of any later version published by the Free Software Foundation. If the Program does not specify a version number of the GNU General Public License, you may choose any version ever published by the Free Software Foundation. If the Program specifies that a proxy can decide which future versions of the GNU General Public License can be used, that proxy's public statement of acceptance of a version permanently authorizes you to choose that version for the Program. Later license versions may give you additional or different permissions. However, no additional obligations are imposed on any author or copyright holder as a result of your choosing to follow a later version. 15. Disclaimer of Warranty. THERE IS NO WARRANTY FOR THE PROGRAM, TO THE EXTENT PERMITTED BY APPLICABLE LAW. EXCEPT WHEN OTHERWISE STATED IN WRITING THE COPYRIGHT HOLDERS AND/OR OTHER PARTIES PROVIDE THE PROGRAM "AS IS" WITHOUT WARRANTY OF ANY KIND, EITHER EXPRESSED OR IMPLIED, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE. THE ENTIRE RISK AS TO THE QUALITY AND PERFORMANCE OF THE PROGRAM IS WITH YOU. SHOULD THE PROGRAM PROVE DEFECTIVE, YOU ASSUME THE COST OF ALL NECESSARY SERVICING, REPAIR OR CORRECTION. 16. Limitation of Liability. IN NO EVENT UNLESS REQUIRED BY APPLICABLE LAW OR AGREED TO IN WRITING WILL ANY COPYRIGHT HOLDER, OR ANY OTHER PARTY WHO MODIFIES AND/OR CONVEYS THE PROGRAM AS PERMITTED ABOVE, BE LIABLE TO YOU FOR DAMAGES, INCLUDING ANY GENERAL, SPECIAL, INCIDENTAL OR CONSEQUENTIAL DAMAGES ARISING OUT OF THE USE OR INABILITY TO USE THE PROGRAM (INCLUDING BUT NOT LIMITED TO LOSS OF DATA OR DATA BEING RENDERED INACCURATE OR LOSSES SUSTAINED BY YOU OR THIRD PARTIES OR A FAILURE OF THE PROGRAM TO OPERATE WITH ANY OTHER PROGRAMS), EVEN IF SUCH HOLDER OR OTHER PARTY HAS BEEN ADVISED OF THE POSSIBILITY OF SUCH DAMAGES. 17. Interpretation of Sections 15 and 16. If the disclaimer of warranty and limitation of liability provided above cannot be given local legal effect according to their terms, reviewing courts shall apply local law that most closely approximates an absolute waiver of all civil liability in connection with the Program, unless a warranty or assumption of liability accompanies a copy of the Program in return for a fee. END OF TERMS AND CONDITIONS How to Apply These Terms to Your New Programs If you develop a new program, and you want it to be of the greatest possible use to the public, the best way to achieve this is to make it free software which everyone can redistribute and change under these terms. To do so, attach the following notices to the program. It is safest to attach them to the start of each source file to most effectively state the exclusion of warranty; and each file should have at least the "copyright" line and a pointer to where the full notice is found. <one line to give the program's name and a brief idea of what it does.> Copyright (C) <year> <name of author> This program is free software: you can redistribute it and/or modify it under the terms of the GNU General Public License as published by the Free Software Foundation, either version 3 of the License, or (at your option) any later version. This program is distributed in the hope that it will be useful, but WITHOUT ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License for more details. You should have received a copy of the GNU General Public License along with this program. If not, see <http://www.gnu.org/licenses/>. Also add information on how to contact you by electronic and paper mail. If the program does terminal interaction, make it output a short notice like this when it starts in an interactive mode: <program> Copyright (C) <year> <name of author> This program comes with ABSOLUTELY NO WARRANTY; for details type `show w'. This is free software, and you are welcome to redistribute it under certain conditions; type `show c' for details. The hypothetical commands `show w' and `show c' should show the appropriate parts of the General Public License. Of course, your program's commands might be different; for a GUI interface, you would use an "about box". You should also get your employer (if you work as a programmer) or school, if any, to sign a "copyright disclaimer" for the program, if necessary. For more information on this, and how to apply and follow the GNU GPL, see <http://www.gnu.org/licenses/>. The GNU General Public License does not permit incorporating your program into proprietary programs. If your program is a subroutine library, you may consider it more useful to permit linking proprietary applications with the library. If this is what you want to do, use the GNU Lesser General Public License instead of this License. But first, please read <http://www.gnu.org/philosophy/why-not-lgpl.html>.

简介

一个简单的RISC-V CPU 展开 收起
Verilog 等 3 种语言
GPL-3.0
取消

发行版

暂无发行版

贡献者

全部

近期动态

加载更多
不能加载更多了
Verilog
1
https://gitee.com/lwhengbit/risc-v-cpu.git
git@gitee.com:lwhengbit/risc-v-cpu.git
lwhengbit
risc-v-cpu
risc-v-cpu
master

搜索帮助

53164aa7 5694891 3bd8fe86 5694891